uvm_python
latest
uvm-python Class Reference
Universal Verification Methodology (UVM) 1.2 User’s Guide
uvm_python
Docs
»
Index
Edit on GitHub
Index
A
|
B
|
C
|
D
|
E
|
F
|
G
|
H
|
I
|
J
|
K
|
L
|
M
|
N
|
O
|
P
|
R
|
S
|
T
|
U
|
V
|
W
|
X
A
accept_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
Access_t (class in uvm.base.uvm_resource)
add() (uvm.base.uvm_callback.UVMCallbacks class method)
(uvm.base.uvm_phase.UVMPhase method)
(uvm.base.uvm_pool.UVMPool method)
(uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs class method)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs class method)
add_block() (uvm.reg.uvm_reg_block.UVMRegBlock method)
add_callback() (uvm.base.uvm_event.UVMEvent method)
add_coverage() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
add_field() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
(uvm.reg.uvm_vreg.UVMVReg method)
add_frontdoors() (uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
add_hdl_path() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_file.UVMRegFile method)
add_hdl_path_slice() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
add_int() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
add_map() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
add_mem() (uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
add_object() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
add_parent_map() (uvm.reg.uvm_reg_map.UVMRegMap method)
add_path() (uvm.reg.uvm_reg_model.uvm_hdl_path_concat method)
add_reg() (uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
add_slice() (uvm.reg.uvm_reg_model.uvm_hdl_path_concat method)
add_string() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
add_submap() (uvm.reg.uvm_reg_map.UVMRegMap method)
add_uvm_phases() (uvm.base.uvm_domain.UVMDomain class method)
add_vreg() (uvm.reg.uvm_reg_block.UVMRegBlock method)
ADDRESS_ERROR_RESPONSE (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_response_status_e attribute)
adjust_name() (uvm.base.uvm_printer.UVMPrinter method)
all_dropped() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_objection.UVMObjection method)
ALL_TYPES (in module uvm.base.uvm_callback)
analysis_write() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
apply_config_settings() (uvm.base.uvm_component.UVMComponent method)
are_args_ok() (uvm.base.uvm_factory.UVMDefaultFactory method)
args_are_ok_again() (uvm.base.uvm_factory.UVMDefaultFactory method)
auditing (uvm.base.uvm_resource.UVMResourceOptions attribute)
B
b_transport() (uvm.tlm2.uvm_tlm2_ifs.UVMTLMIf method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMBTargetSocket method)
back() (uvm.base.uvm_queue.UVMQueue method)
backdoor() (uvm.reg.uvm_reg_map.UVMRegMap class method)
backdoor_read() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
backdoor_read_func() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
backdoor_write() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
bash_kth_bit() (uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq method)
begin_child_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
BEGIN_REQ (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_phase_e attribute)
BEGIN_RESP (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_phase_e attribute)
begin_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
bitstream (uvm.base.uvm_packer.UVMPacker attribute)
body() (uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemSingleAccessSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemSingleWalkSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegSingleAccessSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq method)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq method)
(uvm.reg.sequences.uvm_reg_mem_built_in_seq.UVMRegMemBuiltInSeq method)
(uvm.reg.uvm_reg_indirect.uvm_reg_indirect_ftdr_seq method)
(uvm.reg.uvm_reg_sequence.UVMRegSequence method)
(uvm.seq.uvm_sequence_base.UVMSequenceBase method)
BROAD (uvm.reg.uvm_mem_mam.UVMMemMam attribute)
build() (uvm.base.uvm_component.UVMComponent method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
build_coverage() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
build_phase() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_port_base.UVMPortComponentBase method)
(uvm.base.uvm_root.UVMRoot method)
(uvm.comps.uvm_agent.UVMAgent method)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
BURST_ERROR_RESPONSE (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_response_status_e attribute)
burst_read() (uvm.reg.uvm_mem.UVMMem method)
burst_write() (uvm.reg.uvm_mem.UVMMem method)
bus2reg() (uvm.reg.uvm_reg_adapter.UVMRegAdapter method)
BYTE_ENABLE_ERROR_RESPONSE (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_response_status_e attribute)
C
calculate_max_widths() (uvm.base.uvm_printer.UVMTablePrinter method)
callback_mode() (uvm.base.uvm_callback.UVMCallback method)
can_get() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
can_peek() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
can_put() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
cancel() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_event.UVMEventBase method)
capacity() (uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
catch() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
cb_iter (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
check_config_usage() (uvm.base.uvm_component.UVMComponent method)
check_data_width() (uvm.reg.uvm_reg_block.UVMRegBlock method)
check_inst_override_exists() (uvm.base.uvm_factory.UVMDefaultFactory method)
check_phase() (uvm.base.uvm_component.UVMComponent method)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor method)
check_phase_exists() (in module uvm.base.uvm_domain)
check_registration() (uvm.base.uvm_callback.UVMCallbacksBase method)
classmethod_named() (in module uvm.base.uvm_objection)
clear() (uvm.base.uvm_objection.UVMObjection method)
(uvm.base.uvm_objection.UVMObjectionContextObject method)
(uvm.base.uvm_phase.UVMPhase method)
clear_response_queue() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
clear_successors() (uvm.base.uvm_phase.UVMPhase method)
clone() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
(uvm.comps.uvm_policies.UVMBuiltInClone class method)
(uvm.comps.uvm_policies.UVMClassClone class method)
close() (uvm.base.uvm_recorder.UVMRecorder method)
close_db() (uvm.base.uvm_tr_database.UVMTrDatabase method)
COMMAND_ERROR_RESPONSE (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_response_status_e attribute)
comp() (uvm.comps.uvm_policies.UVMBuiltInComp class method)
(uvm.comps.uvm_policies.UVMClassComp class method)
compare() (uvm.base.uvm_object.UVMObject method)
compare_field() (uvm.base.uvm_comparer.UVMComparer method)
compare_field_int() (uvm.base.uvm_comparer.UVMComparer method)
compare_field_real() (uvm.base.uvm_comparer.UVMComparer method)
compare_object() (uvm.base.uvm_comparer.UVMComparer method)
compare_string() (uvm.base.uvm_comparer.UVMComparer method)
compose_report_message() (uvm.base.uvm_report_server.UVMReportServer method)
comps (uvm.base.uvm_registry.UVMComponentRegistry attribute)
configure() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_file.UVMRegFile method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.reg.uvm_vreg.UVMVReg method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
configure_phase() (uvm.base.uvm_component.UVMComponent method)
connect() (uvm.base.uvm_port_base.UVMPortBase method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMBInitiatorSocket method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMBPassthroughInitiatorSocket method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMBPassthroughTargetSocket method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMBTargetSocket method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMNbInitiatorSocket method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMNbPassthroughInitiatorSocket method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMNbPassthroughTargetSocket method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMNbTargetSocket method)
connect_phase() (uvm.base.uvm_component.UVMComponent method)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator method)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel method)
convert2string() (uvm.base.uvm_component.VerbositySetting method)
(uvm.base.uvm_factory.UVMDefaultFactory method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_objection.UVMObjection method)
(uvm.base.uvm_phase.UVMPhase method)
(uvm.base.uvm_queue.UVMQueue method)
(uvm.base.uvm_resource.UVMResource method)
(uvm.comps.uvm_pair.UVMBuiltInPair method)
(uvm.comps.uvm_pair.UVMClassPair method)
(uvm.comps.uvm_policies.UVMBuiltInConverter class method)
(uvm.comps.uvm_policies.UVMClassConverter class method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_item.UVMRegItem method)
(uvm.reg.uvm_reg_map.UVMRegMapInfo method)
(uvm.reg.uvm_reg_model.UVMRegMapAddrRange method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
copy() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
create() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_links.UVMParentChildLink method)
(uvm.base.uvm_links.UVMRelatedLink method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_phase.UVMPhaseStateChange method)
(uvm.base.uvm_pool.UVMPool method)
(uvm.base.uvm_queue.UVMQueue method)
(uvm.base.uvm_recorder.UVMTextRecorder method)
(uvm.base.uvm_registry.UVMComponentRegistry method)
(uvm.base.uvm_registry.UVMObjectRegistry method)
(uvm.base.uvm_report_handler.UVMReportHandler method)
(uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_tr_database.UVMTextTrDatabase method)
(uvm.base.uvm_tr_stream.UVMTextTrStream method)
(uvm.comps.uvm_pair.UVMBuiltInPair method)
(uvm.comps.uvm_pair.UVMClassPair method)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap method)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap method)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemSingleAccessSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemSingleWalkSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegSingleAccessSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq method)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq method)
(uvm.reg.sequences.uvm_reg_mem_built_in_seq.UVMRegMemBuiltInSeq method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs method)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_item.UVMRegItem method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.reg.uvm_reg_sequence.UVMRegSequence method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMExtensionBase method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
create_aliased_exports() (uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel method)
create_component() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_factory.UVMObjectWrapper method)
(uvm.base.uvm_registry.UVMComponentRegistry method)
create_component_by_name() (uvm.base.uvm_factory.UVMDefaultFactory method)
create_component_by_type() (uvm.base.uvm_factory.UVMDefaultFactory method)
create_item() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
create_map() (uvm.reg.uvm_reg_block.UVMRegBlock method)
create_object() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_factory.UVMObjectWrapper method)
(uvm.base.uvm_registry.UVMObjectRegistry method)
create_object_by_name() (uvm.base.uvm_factory.UVMDefaultFactory method)
create_object_by_type() (uvm.base.uvm_factory.UVMDefaultFactory method)
create_type_by_name() (uvm.base.uvm_misc.UVMUtils class method)
D
debug_connected_to() (uvm.base.uvm_port_base.UVMPortBase method)
debug_create_by_name() (uvm.base.uvm_factory.UVMDefaultFactory method)
debug_create_by_type() (uvm.base.uvm_factory.UVMDefaultFactory method)
debug_provided_to() (uvm.base.uvm_port_base.UVMPortBase method)
debug_report_catcher() (uvm.base.uvm_report_catcher.UVMReportCatcher class method)
decode() (uvm.reg.uvm_reg_cbs.UVMRegCbs method)
decr() (uvm.tlm2.uvm_tlm2_time.UVMTLMTime method)
default_precedence (uvm.base.uvm_resource.UVMResourceBase attribute)
define_access() (uvm.reg.uvm_reg_field.UVMRegField class method)
define_domain() (uvm.base.uvm_component.UVMComponent method)
delete() (uvm.base.uvm_pool.UVMObjectStringPool method)
(uvm.base.uvm_pool.UVMPool method)
(uvm.base.uvm_queue.UVMQueue method)
(uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
delete_callback() (uvm.base.uvm_event.UVMEvent method)
delete_elements() (uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
depth (uvm.base.uvm_object.UVMObject attribute)
die() (uvm.base.uvm_root.UVMRoot method)
disable_recording() (uvm.base.uvm_transaction.UVMTransaction method)
display() (uvm.base.uvm_callback.UVMCallbacks class method)
(uvm.base.uvm_callback.UVMTypedCallbacks class method)
display_objections() (uvm.base.uvm_objection.UVMObjection method)
do_accept_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
do_begin_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
do_block() (uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq method)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq method)
do_bus_read() (uvm.reg.uvm_reg_map.UVMRegMap method)
do_bus_write() (uvm.reg.uvm_reg_map.UVMRegMap method)
do_check() (uvm.reg.uvm_reg.UVMReg method)
do_clone() (uvm.base.uvm_report_message.UVMReportMessageElementBase method)
do_close() (uvm.base.uvm_recorder.UVMRecorder method)
(uvm.base.uvm_recorder.UVMTextRecorder method)
do_close_db() (uvm.base.uvm_tr_database.UVMTextTrDatabase method)
do_compare() (uvm.base.uvm_object.UVMObject method)
(uvm.comps.uvm_pair.UVMBuiltInPair method)
do_copy() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_objection.UVMObjection method)
(uvm.base.uvm_queue.UVMQueue method)
(uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
(uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
(uvm.reg.uvm_reg_item.UVMRegItem method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMExtensionBase method)
do_end_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
do_establish_link() (uvm.base.uvm_tr_database.UVMTextTrDatabase method)
(uvm.base.uvm_tr_database.UVMTrDatabase method)
do_field_check() (uvm.base.uvm_misc.UVMStatusContainer method)
do_flush() (uvm.base.uvm_component.UVMComponent method)
do_free() (uvm.base.uvm_recorder.UVMRecorder method)
(uvm.base.uvm_recorder.UVMTextRecorder method)
do_get_lhs() (uvm.base.uvm_links.UVMCauseEffectLink method)
(uvm.base.uvm_links.UVMLinkBase method)
(uvm.base.uvm_links.UVMParentChildLink method)
do_get_rhs() (uvm.base.uvm_links.UVMCauseEffectLink method)
(uvm.base.uvm_links.UVMLinkBase method)
(uvm.base.uvm_links.UVMParentChildLink method)
do_kill() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
DO_NOT_CATCH (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
DO_NOT_MODIFY (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
do_open() (uvm.base.uvm_recorder.UVMRecorder method)
(uvm.base.uvm_recorder.UVMTextRecorder method)
(uvm.base.uvm_tr_stream.UVMTextTrStream method)
do_open_db() (uvm.base.uvm_tr_database.UVMTextTrDatabase method)
(uvm.base.uvm_tr_database.UVMTrDatabase method)
do_open_recorder() (uvm.base.uvm_tr_stream.UVMTextTrStream method)
do_open_stream() (uvm.base.uvm_tr_database.UVMTextTrDatabase method)
do_pack() (uvm.base.uvm_object.UVMObject method)
do_post_read() (uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
do_post_write() (uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
do_pre_read() (uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
do_pre_write() (uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
do_predict() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
do_print() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_event.UVMEvent method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_pool.UVMObjectStringPool method)
(uvm.base.uvm_pool.UVMPool method)
(uvm.base.uvm_report_handler.UVMReportHandler method)
(uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
(uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
(uvm.base.uvm_report_server.UVMReportServer method)
(uvm.seq.uvm_sequence.UVMSequence method)
do_read() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
do_record() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
(uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
do_record_field() (uvm.base.uvm_recorder.UVMTextRecorder method)
do_record_field_int() (uvm.base.uvm_recorder.UVMTextRecorder method)
do_record_generic() (uvm.base.uvm_recorder.UVMTextRecorder method)
do_record_object() (uvm.base.uvm_recorder.UVMTextRecorder method)
do_record_string() (uvm.base.uvm_recorder.UVMTextRecorder method)
do_record_time() (uvm.base.uvm_recorder.UVMTextRecorder method)
do_reg_item() (uvm.reg.uvm_reg_sequence.UVMRegSequence method)
do_report (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
do_resolve_bindings() (uvm.base.uvm_component.UVMComponent method)
do_set_lhs() (uvm.base.uvm_links.UVMCauseEffectLink method)
(uvm.base.uvm_links.UVMLinkBase method)
(uvm.base.uvm_links.UVMParentChildLink method)
do_set_rhs() (uvm.base.uvm_links.UVMCauseEffectLink method)
(uvm.base.uvm_links.UVMLinkBase method)
(uvm.base.uvm_links.UVMParentChildLink method)
do_task_phase() (uvm.base.uvm_port_base.UVMPortComponentBase method)
do_unpack() (uvm.base.uvm_object.UVMObject method)
do_write() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
drop_objection() (uvm.base.uvm_objection.UVMObjection method)
(uvm.base.uvm_phase.UVMPhase method)
dropped() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_objection.UVMObjection method)
dump() (uvm.base.uvm_resource.UVMResourcePool method)
(uvm.base.uvm_resource_db.UVMResourceDb class method)
dut (uvm.dpi.uvm_hdl.uvm_hdl attribute)
E
emit() (uvm.base.uvm_printer.UVMPrinter method)
(uvm.base.uvm_printer.UVMTablePrinter method)
(uvm.base.uvm_printer.UVMTreePrinter method)
enable_recording() (uvm.base.uvm_transaction.UVMTransaction method)
ename() (in module uvm.base.uvm_report_server)
encode() (uvm.reg.uvm_reg_cbs.UVMRegCbs method)
end_of_elaboration_phase() (uvm.base.uvm_component.UVMComponent method)
end_prematurely() (uvm.base.uvm_phase.UVMPhase method)
END_REQ (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_phase_e attribute)
END_RESP (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_phase_e attribute)
end_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
enough_bits() (uvm.base.uvm_packer.UVMPacker method)
enum_val_from() (in module uvm.reg.uvm_reg_model)
establish_link() (uvm.base.uvm_tr_database.UVMTrDatabase method)
event_pool (uvm.base.uvm_port_base.UVMPortComponent attribute)
(uvm.base.uvm_port_base.UVMPortComponentBase attribute)
(uvm.base.uvm_root.UVMRoot attribute)
(uvm.comps.uvm_agent.UVMAgent attribute)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator attribute)
(uvm.comps.uvm_driver.UVMDriver attribute)
(uvm.comps.uvm_env.UVMEnv attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator attribute)
(uvm.comps.uvm_monitor.UVMMonitor attribute)
(uvm.comps.uvm_scoreboard.UVMScoreboard attribute)
(uvm.comps.uvm_subscriber.UVMSubscriber attribute)
(uvm.comps.uvm_test.UVMTest attribute)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor attribute)
(uvm.seq.uvm_sequencer.UVMSequencer attribute)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase attribute)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase attribute)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMAnalysisFIFO attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO attribute)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel attribute)
exec_func() (uvm.base.uvm_common_phases.UVMBuildPhase method)
(uvm.base.uvm_common_phases.UVMCheckPhase method)
(uvm.base.uvm_common_phases.UVMConnectPhase method)
(uvm.base.uvm_common_phases.UVMEndOfElaborationPhase method)
(uvm.base.uvm_common_phases.UVMExtractPhase method)
(uvm.base.uvm_common_phases.UVMFinalPhase method)
(uvm.base.uvm_common_phases.UVMReportPhase method)
(uvm.base.uvm_common_phases.UVMStartofSimulationPhase method)
(uvm.base.uvm_phase.UVMPhase method)
exec_task() (uvm.base.uvm_common_phases.UVMRunPhase method)
(uvm.base.uvm_runtime_phases.UVMConfigurePhase method)
(uvm.base.uvm_runtime_phases.UVMMainPhase method)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase method)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase method)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase method)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase method)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase method)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase method)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase method)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase method)
(uvm.base.uvm_runtime_phases.UVMResetPhase method)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase method)
execute() (uvm.base.uvm_bottomup_phase.UVMBottomupPhase method)
(uvm.base.uvm_phase.UVMPhase method)
(uvm.base.uvm_task_phase.UVMTaskPhase method)
(uvm.base.uvm_topdown_phase.UVMTopdownPhase method)
execute_item() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
execute_phase() (uvm.base.uvm_phase.UVMPhase method)
execute_report_message() (uvm.base.uvm_report_server.UVMReportServer method)
exists() (uvm.base.uvm_config_db.UVMConfigDb class method)
(uvm.base.uvm_pool.UVMPool method)
extract_args() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
extract_phase() (uvm.base.uvm_component.UVMComponent method)
F
f_display() (uvm.base.uvm_report_server.UVMReportServer method)
fabitstream (uvm.base.uvm_packer.UVMPacker attribute)
field_array (uvm.base.uvm_misc.UVMStatusContainer attribute)
final_phase() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_root.UVMRoot method)
find() (uvm.base.uvm_phase.UVMPhase method)
(uvm.base.uvm_root.UVMRoot method)
find_all() (uvm.base.uvm_misc.UVMUtils class method)
(uvm.base.uvm_root.UVMRoot method)
find_by_name() (uvm.base.uvm_phase.UVMPhase method)
find_first_index() (uvm.base.uvm_queue.UVMQueue method)
find_override_by_name() (uvm.base.uvm_factory.UVMDefaultFactory method)
find_override_by_type() (uvm.base.uvm_factory.UVMDefaultFactory method)
find_with() (uvm.base.uvm_queue.UVMQueue method)
find_wrapper_by_name() (uvm.base.uvm_factory.UVMDefaultFactory method)
finish_item() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
first() (uvm.base.uvm_callback.UVMCallbackIter method)
(uvm.base.uvm_pool.UVMPool method)
first_m_set_cl_verb (uvm.base.uvm_component.UVMComponent attribute)
flip_bit_order() (uvm.base.uvm_packer.UVMPacker method)
flush() (uvm.base.uvm_component.UVMComponent method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator method)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
format_action() (uvm.base.uvm_report_handler.UVMReportHandler class method)
format_footer() (uvm.base.uvm_printer.UVMPrinter method)
format_header() (uvm.base.uvm_printer.UVMPrinter method)
format_row() (uvm.base.uvm_printer.UVMPrinter method)
free() (uvm.base.uvm_recorder.UVMRecorder method)
free_tr_stream() (uvm.base.uvm_component.UVMComponent method)
front() (uvm.base.uvm_queue.UVMQueue method)
G
g_request_id (uvm.seq.uvm_sequencer_base.UVMSequencerBase attribute)
g_sequence_id (uvm.seq.uvm_sequencer_base.UVMSequencerBase attribute)
g_sequencer_id (uvm.seq.uvm_sequencer_base.UVMSequencerBase attribute)
GENERIC_ERROR_RESPONSE (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_response_status_e attribute)
get() (uvm.base.uvm_callback.UVMCallbacks class method)
(uvm.base.uvm_callback.UVMTypeID class method)
(uvm.base.uvm_common_phases.UVMBuildPhase class method)
(uvm.base.uvm_common_phases.UVMCheckPhase class method)
(uvm.base.uvm_common_phases.UVMConnectPhase class method)
(uvm.base.uvm_common_phases.UVMEndOfElaborationPhase class method)
(uvm.base.uvm_common_phases.UVMExtractPhase class method)
(uvm.base.uvm_common_phases.UVMFinalPhase class method)
(uvm.base.uvm_common_phases.UVMReportPhase class method)
(uvm.base.uvm_common_phases.UVMRunPhase class method)
(uvm.base.uvm_common_phases.UVMStartofSimulationPhase class method)
(uvm.base.uvm_config_db.UVMConfigDb class method)
(uvm.base.uvm_coreservice.UVMCoreService class method)
(uvm.base.uvm_factory.UVMFactory class method)
(uvm.base.uvm_pool.UVMObjectStringPool method)
(uvm.base.uvm_pool.UVMPool method)
(uvm.base.uvm_queue.UVMQueue method)
(uvm.base.uvm_registry.UVMComponentRegistry method)
(uvm.base.uvm_registry.UVMObjectRegistry method)
(uvm.base.uvm_resource.UVMResourcePool class method)
(uvm.base.uvm_root.UVMRoot class method)
(uvm.base.uvm_runtime_phases.UVMConfigurePhase class method)
(uvm.base.uvm_runtime_phases.UVMMainPhase class method)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase class method)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase class method)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase class method)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase class method)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase class method)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase class method)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase class method)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase class method)
(uvm.base.uvm_runtime_phases.UVMResetPhase class method)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase class method)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap method)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap method)
(uvm.dap.uvm_set_get_dap_base.uvm_set_get_dap_base method)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs class method)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs class method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
(uvm.seq.uvm_sequencer.UVMSequencer method)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
get_abstime() (uvm.tlm2.uvm_tlm2_time.UVMTLMTime method)
get_accept_time() (uvm.base.uvm_transaction.UVMTransaction method)
get_access() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg_field.UVMRegField method)
get_action() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_handler.UVMReportHandler method)
(uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
get_adapter() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_addr_unit_bytes() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_address() (uvm.reg.uvm_reg.UVMReg method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
get_addresses() (uvm.reg.uvm_reg.UVMReg method)
get_adjacent_successor_nodes() (uvm.base.uvm_phase.UVMPhase method)
get_arbitration() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
get_arg_matches() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_arg_value() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_arg_values() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_args() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_auto_predict() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_automatic_phase_objection() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_backdoor() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
get_base_addr() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_base_response() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_begin_time() (uvm.base.uvm_transaction.UVMTransaction method)
get_bit() (uvm.base.uvm_packer.UVMPacker method)
get_bits() (in module uvm.base.uvm_packer)
(uvm.base.uvm_packer.UVMPacker method)
get_block() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_file.UVMRegFile method)
(uvm.reg.uvm_vreg.UVMVReg method)
get_blocks() (uvm.reg.uvm_reg_block.UVMRegBlock method)
get_bracket_match() (in module uvm.base.uvm_misc)
get_by_name() (uvm.base.uvm_resource.UVMResource class method)
(uvm.base.uvm_resource.UVMResourcePool method)
(uvm.base.uvm_resource_db.UVMResourceDb class method)
get_by_type() (uvm.base.uvm_resource_db.UVMResourceDb class method)
get_bytes() (uvm.base.uvm_packer.UVMPacker method)
get_cb() (uvm.base.uvm_callback.UVMCallbackIter method)
get_check_on_read() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_child() (uvm.base.uvm_component.UVMComponent method)
get_children() (uvm.base.uvm_component.UVMComponent method)
get_client() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
get_close_time() (uvm.base.uvm_recorder.UVMRecorder method)
get_command() (uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
get_common_domain() (uvm.base.uvm_domain.UVMDomain class method)
get_comp() (uvm.base.uvm_port_base.UVMPortBase method)
get_compare() (uvm.reg.uvm_reg_field.UVMRegField method)
get_config() (uvm.base.uvm_misc.UVMUtils class method)
get_connected_to() (uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_port_base.UVMPortComponent method)
(uvm.base.uvm_port_base.UVMPortComponentBase method)
get_context() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_coverage() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
get_cs() (in module uvm.base.uvm_globals)
(in module uvm.base.uvm_report_server)
get_current_item() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
get_db() (uvm.base.uvm_tr_stream.UVMTrStream method)
get_default_hdl_path() (uvm.reg.uvm_reg_block.UVMRegBlock method)
get_default_map() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
get_default_path() (uvm.reg.uvm_reg_block.UVMRegBlock method)
get_default_tr_database() (uvm.base.uvm_coreservice.UVMCoreService method)
get_depth() (uvm.base.uvm_component.UVMComponent method)
(uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_domain() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_phase.UVMPhase method)
get_domain_name() (uvm.base.uvm_phase.UVMPhase method)
get_domains() (uvm.base.uvm_domain.UVMDomain class method)
get_dut() (uvm.base.uvm_root.UVMRoot class method)
get_element_container() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_elements() (uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
get_end_time() (uvm.base.uvm_transaction.UVMTransaction method)
get_endian() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_event_pool() (uvm.base.uvm_transaction.UVMTransaction method)
get_factory() (in module uvm.base.uvm_registry)
(uvm.base.uvm_coreservice.UVMCoreService method)
get_field_by_name() (uvm.reg.uvm_reg.UVMReg method)
get_fields() (uvm.reg.uvm_reg.UVMReg method)
get_file() (uvm.base.uvm_report_message.UVMReportMessage method)
get_file_handle() (uvm.base.uvm_report_handler.UVMReportHandler method)
get_filename() (uvm.base.uvm_report_message.UVMReportMessage method)
get_first() (uvm.base.uvm_callback.UVMCallbacks class method)
(uvm.base.uvm_callback.UVMCallbacksBase class method)
(uvm.base.uvm_report_catcher.UVMReportCb class method)
get_first_child() (uvm.base.uvm_component.UVMComponent method)
get_fname() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
get_full_hdl_path() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
get_full_name() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_phase.UVMPhase method)
(uvm.base.uvm_port_base.UVMPortBase method)
(uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_file.UVMRegFile method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.reg.uvm_vreg.UVMVReg method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
(uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_full_scope_arg() (uvm.base.uvm_misc.UVMStatusContainer method)
get_function_type() (uvm.base.uvm_misc.UVMStatusContainer method)
get_global() (uvm.base.uvm_pool.UVMObjectStringPool class method)
(uvm.base.uvm_pool.UVMPool class method)
(uvm.base.uvm_queue.UVMQueue class method)
get_global_pool() (uvm.base.uvm_pool.UVMObjectStringPool class method)
(uvm.base.uvm_pool.UVMPool class method)
get_global_queue() (uvm.base.uvm_queue.UVMQueue class method)
get_handle() (uvm.base.uvm_recorder.UVMRecorder method)
(uvm.base.uvm_tr_stream.UVMTrStream method)
get_highest_precedence() (uvm.base.uvm_resource.UVMResource class method)
(uvm.base.uvm_resource.UVMResourcePool method)
get_id() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_id_count() (uvm.base.uvm_report_server.UVMReportServer method)
get_id_set() (uvm.base.uvm_report_server.UVMReportServer method)
get_if() (uvm.base.uvm_port_base.UVMPortBase method)
get_inst() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor class method)
get_inst_count() (uvm.base.uvm_object.UVMObject class method)
get_inst_id() (uvm.base.uvm_object.UVMObject method)
get_ints() (uvm.base.uvm_packer.UVMPacker method)
get_is_active() (uvm.comps.uvm_agent.UVMAgent method)
get_item() (uvm.reg.uvm_reg_adapter.UVMRegAdapter method)
get_jump_target() (uvm.base.uvm_phase.UVMPhase method)
get_last() (uvm.base.uvm_callback.UVMCallbacks class method)
get_leaf_name() (in module uvm.base.uvm_objection)
get_lhs() (uvm.base.uvm_links.UVMLinkBase method)
get_line() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_link() (uvm.base.uvm_links.UVMCauseEffectLink class method)
(uvm.base.uvm_links.UVMParentChildLink class method)
(uvm.base.uvm_links.UVMRelatedLink class method)
get_local_map() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
get_lsb_pos() (uvm.reg.uvm_reg_field.UVMRegField method)
get_lsb_pos_in_register() (uvm.reg.uvm_vreg_field.UVMVRegField method)
get_map_by_name() (uvm.reg.uvm_reg_block.UVMRegBlock method)
get_maps() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
get_max_quit_count() (uvm.base.uvm_report_server.UVMReportServer method)
get_max_size() (uvm.reg.uvm_mem.UVMMem class method)
(uvm.reg.uvm_reg.UVMReg class method)
(uvm.reg.uvm_reg_field.UVMRegField class method)
get_mem_map_info() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_memories() (uvm.reg.uvm_reg_block.UVMRegBlock method)
get_message() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_message_database() (uvm.base.uvm_report_server.UVMReportServer method)
get_mirrored_value() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_field.UVMRegField method)
get_n_bits() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
get_n_bytes() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.reg.uvm_vreg.UVMVReg method)
get_n_maps() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
get_name() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
(uvm.tlm2.uvm_tlm2_time.UVMTLMTime method)
get_name_depth() (in module uvm.base.uvm_objection)
get_next() (uvm.base.uvm_callback.UVMCallbacks class method)
get_next_child() (uvm.base.uvm_component.UVMComponent method)
get_next_item() (uvm.seq.uvm_sequencer.UVMSequencer method)
get_next_obj() (uvm.dpi.uvm_hdl.uvm_hdl class method)
get_num_children() (uvm.base.uvm_component.UVMComponent method)
get_num_last_reqs() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
get_num_last_rsps() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
get_num_reqs_sent() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
get_num_rsps_received() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
get_num_waiters() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_event.UVMEventBase method)
get_obj_and_typename() (uvm.base.uvm_callback.UVMCallbacks class method)
get_object_type() (uvm.base.uvm_links.UVMParentChildLink method)
(uvm.base.uvm_links.UVMRelatedLink method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_phase.UVMPhaseStateChange method)
(uvm.base.uvm_recorder.UVMTextRecorder method)
(uvm.base.uvm_report_handler.UVMReportHandler method)
(uvm.base.uvm_tr_database.UVMTextTrDatabase method)
(uvm.base.uvm_tr_stream.UVMTextTrStream method)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator method)
(uvm.comps.uvm_pair.UVMBuiltInPair method)
(uvm.comps.uvm_pair.UVMClassPair method)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap method)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap method)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemSingleAccessSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemSingleWalkSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegSingleAccessSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq method)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq method)
(uvm.reg.sequences.uvm_reg_mem_built_in_seq.UVMRegMemBuiltInSeq method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs method)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_item.UVMRegItem method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor method)
(uvm.reg.uvm_reg_sequence.UVMRegSequence method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
(uvm.seq.uvm_sequencer.UVMSequencer method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
get_objection() (uvm.base.uvm_phase.UVMPhase method)
get_objection_count() (uvm.base.uvm_objection.UVMObjection method)
get_objection_total() (uvm.base.uvm_objection.UVMObjection method)
get_offset() (uvm.reg.uvm_reg.UVMReg method)
get_open_time() (uvm.base.uvm_recorder.UVMRecorder method)
get_packed_bits() (uvm.base.uvm_packer.UVMPacker method)
get_packed_size() (uvm.base.uvm_packer.UVMPacker method)
get_parent() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_phase.UVMPhase method)
(uvm.base.uvm_port_base.UVMPortBase method)
(uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.reg.uvm_vreg.UVMVReg method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
get_parent_map() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_parent_sequence() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_phase_done_event() (uvm.base.uvm_phase.UVMPhase method)
get_phase_synced_event() (uvm.base.uvm_phase.UVMPhase method)
get_phase_type() (uvm.base.uvm_phase.UVMPhase method)
get_physical_addresses() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_plusargs() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_port() (uvm.base.uvm_port_base.UVMPortComponent method)
get_predecessors_for_successors() (uvm.base.uvm_phase.UVMPhase method)
get_prev() (uvm.base.uvm_callback.UVMCallbacks class method)
get_prev_state() (uvm.base.uvm_phase.UVMPhaseStateChange method)
get_priority() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_propagate_mode() (uvm.base.uvm_objection.UVMObjection method)
get_provided_to() (uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_port_base.UVMPortComponent method)
(uvm.base.uvm_port_base.UVMPortComponentBase method)
get_quit_count() (uvm.base.uvm_report_server.UVMReportServer method)
get_radix_str() (uvm.base.uvm_printer.UVMPrinterKnobs method)
get_ready_to_end_count() (uvm.base.uvm_phase.UVMPhase method)
get_realtime() (uvm.tlm2.uvm_tlm2_time.UVMTLMTime method)
get_recorder_from_handle() (uvm.base.uvm_recorder.UVMRecorder class method)
get_reg_by_name() (uvm.reg.uvm_reg_block.UVMRegBlock method)
get_reg_by_offset() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_reg_map_info() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_regfile() (uvm.reg.uvm_reg.UVMReg method)
get_register() (uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
get_registers() (uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
get_report_action() (uvm.base.uvm_report_object.UVMReportObject method)
get_report_catcher() (uvm.base.uvm_report_catcher.UVMReportCatcher class method)
get_report_file_handle() (uvm.base.uvm_report_object.UVMReportObject method)
get_report_handler() (uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_report_object.UVMReportObject method)
get_report_max_verbosity_level() (uvm.base.uvm_report_object.UVMReportObject method)
get_report_object() (uvm.base.uvm_report_message.UVMReportMessage method)
get_report_server() (in module uvm.base.uvm_root)
(uvm.base.uvm_coreservice.UVMCoreService method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_report_verbosity_level() (uvm.base.uvm_report_object.UVMReportObject method)
get_reset() (uvm.reg.uvm_reg_field.UVMRegField method)
get_response() (uvm.seq.uvm_sequence.UVMSequence method)
get_response_queue_depth() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_response_queue_error_report_disabled() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_rhs() (uvm.base.uvm_links.UVMLinkBase method)
get_rights() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
get_root() (uvm.base.uvm_coreservice.UVMCoreService method)
get_root_blocks() (uvm.reg.uvm_reg_block.UVMRegBlock method)
get_root_map() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_root_sequence() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_root_sequence_name() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_run_count() (uvm.base.uvm_phase.UVMPhase method)
get_schedule() (uvm.base.uvm_phase.UVMPhase method)
get_schedule_name() (uvm.base.uvm_phase.UVMPhase method)
get_scope() (uvm.base.uvm_resource.UVMResourceBase method)
get_sequence_id() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_sequence_path() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_sequence_state() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_sequencer() (uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_server() (uvm.base.uvm_report_server.UVMReportServer class method)
get_severity() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_severity_count() (uvm.base.uvm_report_server.UVMReportServer method)
get_severity_id_file() (uvm.base.uvm_report_handler.UVMReportHandler method)
get_severity_set() (uvm.base.uvm_report_server.UVMReportServer method)
get_size() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_vreg.UVMVReg method)
get_starting_phase() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_state() (uvm.base.uvm_phase.UVMPhase method)
(uvm.base.uvm_phase.UVMPhaseStateChange method)
get_stream() (uvm.base.uvm_recorder.UVMRecorder method)
get_submap_offset() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_submaps() (uvm.reg.uvm_reg_map.UVMRegMap method)
get_summary_string() (uvm.base.uvm_report_server.UVMReportServer method)
get_t (class in uvm.base.uvm_resource)
get_threshold() (uvm.base.uvm_barrier.UVMBarrier method)
get_tool_name() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_tool_version() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_tr_handle() (uvm.base.uvm_transaction.UVMTransaction method)
(uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_tr_stream() (uvm.base.uvm_component.UVMComponent method)
get_transaction_id() (uvm.base.uvm_transaction.UVMTransaction method)
get_trigger_data() (uvm.base.uvm_event.UVMEvent method)
get_trigger_time() (uvm.base.uvm_event.UVMEventBase method)
get_type() (uvm.base.uvm_links.UVMParentChildLink class method)
(uvm.base.uvm_links.UVMRelatedLink class method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_phase.UVMPhaseStateChange class method)
(uvm.base.uvm_recorder.UVMTextRecorder class method)
(uvm.base.uvm_report_handler.UVMReportHandler class method)
(uvm.base.uvm_resource.UVMResource class method)
(uvm.base.uvm_tr_database.UVMTextTrDatabase class method)
(uvm.base.uvm_tr_stream.UVMTextTrStream class method)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator class method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator class method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator class method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator class method)
(uvm.comps.uvm_pair.UVMBuiltInPair class method)
(uvm.comps.uvm_pair.UVMClassPair class method)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap class method)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap class method)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap class method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq class method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemSingleAccessSeq class method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemSingleWalkSeq class method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq class method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq class method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq class method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegSingleAccessSeq class method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq class method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq class method)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq class method)
(uvm.reg.sequences.uvm_reg_mem_built_in_seq.UVMRegMemBuiltInSeq class method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor class method)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs class method)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs class method)
(uvm.reg.uvm_reg_field.UVMRegField class method)
(uvm.reg.uvm_reg_item.UVMRegItem class method)
(uvm.reg.uvm_reg_map.UVMRegMap class method)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor class method)
(uvm.reg.uvm_reg_sequence.UVMRegSequence class method)
(uvm.reg.uvm_vreg_field.UVMVRegField class method)
(uvm.seq.uvm_sequencer.UVMSequencer class method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload class method)
get_type_handle() (uvm.base.uvm_resource.UVMResource method)
(uvm.base.uvm_resource.UVMResourceBase method)
get_type_name() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_callback.UVMCallback method)
(uvm.base.uvm_common_phases.UVMBuildPhase method)
(uvm.base.uvm_common_phases.UVMCheckPhase method)
(uvm.base.uvm_common_phases.UVMConnectPhase method)
(uvm.base.uvm_common_phases.UVMEndOfElaborationPhase method)
(uvm.base.uvm_common_phases.UVMExtractPhase method)
(uvm.base.uvm_common_phases.UVMFinalPhase method)
(uvm.base.uvm_common_phases.UVMReportPhase method)
(uvm.base.uvm_common_phases.UVMRunPhase method)
(uvm.base.uvm_common_phases.UVMStartofSimulationPhase method)
(uvm.base.uvm_event.UVMEvent method)
(uvm.base.uvm_event.UVMEventBase method)
(uvm.base.uvm_factory.UVMObjectWrapper method)
(uvm.base.uvm_links.UVMParentChildLink method)
(uvm.base.uvm_links.UVMRelatedLink method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_phase.UVMPhaseStateChange method)
(uvm.base.uvm_pool.UVMObjectStringPool method)
(uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_port_base.UVMPortComponent method)
(uvm.base.uvm_queue.UVMQueue method)
(uvm.base.uvm_recorder.UVMTextRecorder method)
(uvm.base.uvm_registry.UVMComponentRegistry method)
(uvm.base.uvm_registry.UVMObjectRegistry method)
(uvm.base.uvm_report_handler.UVMReportHandler method)
(uvm.base.uvm_report_server.UVMReportServer method)
(uvm.base.uvm_root.UVMRoot method)
(uvm.base.uvm_runtime_phases.UVMConfigurePhase method)
(uvm.base.uvm_runtime_phases.UVMMainPhase method)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase method)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase method)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase method)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase method)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase method)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase method)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase method)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase method)
(uvm.base.uvm_runtime_phases.UVMResetPhase method)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase method)
(uvm.base.uvm_tr_database.UVMTextTrDatabase method)
(uvm.base.uvm_tr_stream.UVMTextTrStream method)
(uvm.comps.uvm_agent.UVMAgent method)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator method)
(uvm.comps.uvm_driver.UVMDriver method)
(uvm.comps.uvm_env.UVMEnv method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator method)
(uvm.comps.uvm_monitor.UVMMonitor method)
(uvm.comps.uvm_pair.UVMBuiltInPair method)
(uvm.comps.uvm_pair.UVMClassPair method)
(uvm.comps.uvm_scoreboard.UVMScoreboard method)
(uvm.comps.uvm_test.UVMTest method)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap method)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap method)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq method)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemSingleAccessSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemSingleWalkSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegSingleAccessSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq method)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq method)
(uvm.reg.sequences.uvm_reg_mem_built_in_seq.UVMRegMemBuiltInSeq method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs method)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_item.UVMRegItem method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor method)
(uvm.reg.uvm_reg_sequence.UVMRegSequence method)
(uvm.reg.uvm_vreg_field.UVMVRegField method)
(uvm.seq.uvm_sequence_item.UVMSequenceItem method)
(uvm.seq.uvm_sequencer.UVMSequencer method)
(uvm.tlm1.uvm_analysis_port.UVMAnalysisExport method)
(uvm.tlm1.uvm_analysis_port.UVMAnalysisPort method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMAnalysisFIFO method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel method)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMBTargetSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbInitiatorSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbPassthroughInitiatorSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbPassthroughTargetSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbTargetSocketBase method)
get_use_response_handler() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
get_use_sequence_info() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
get_uvm_args() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
get_uvm_domain() (uvm.base.uvm_domain.UVMDomain class method)
get_uvm_schedule() (uvm.base.uvm_domain.UVMDomain class method)
get_uvm_testname() (uvm.base.uvm_root.UVMRoot method)
get_val() (uvm.base.uvm_report_message.UVMReportMessageElementBase method)
get_verbosity() (in module uvm.base.uvm_report_object)
(uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
get_verbosity_level() (uvm.base.uvm_report_handler.UVMReportHandler method)
grant_queued_locks() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
GREEDY (uvm.reg.uvm_mem_mam.UVMMemMam attribute)
H
has_child() (uvm.base.uvm_component.UVMComponent method)
has_coverage() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
has_first() (uvm.base.uvm_pool.UVMPool method)
has_first_child() (uvm.base.uvm_component.UVMComponent method)
has_hdl_path() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
has_last() (uvm.base.uvm_pool.UVMPool method)
has_lock() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
has_next() (uvm.base.uvm_pool.UVMPool method)
has_next_child() (uvm.base.uvm_component.UVMComponent method)
has_predecessors() (uvm.base.uvm_phase.UVMPhase method)
has_prev() (uvm.base.uvm_pool.UVMPool method)
has_reset() (uvm.reg.uvm_reg_field.UVMRegField method)
I
id (uvm.reg.uvm_reg_block.UVMRegBlock attribute)
implement() (uvm.reg.uvm_vreg.UVMVReg method)
in_catcher (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
include_coverage() (uvm.reg.uvm_reg.UVMReg class method)
INCOMPLETE_RESPONSE (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_response_status_e attribute)
incr() (uvm.tlm2.uvm_tlm2_time.UVMTLMTime method)
incr_id_count() (uvm.base.uvm_report_server.UVMReportServer method)
incr_quit_count() (uvm.base.uvm_report_server.UVMReportServer method)
incr_severity_count() (uvm.base.uvm_report_server.UVMReportServer method)
indent (uvm.base.uvm_port_base.UVMPortBase attribute)
index_error() (uvm.base.uvm_packer.UVMPacker method)
index_string() (uvm.base.uvm_printer.UVMPrinter method)
init() (uvm.base.uvm_config_db.UVMConfigDbOptions class method)
(uvm.base.uvm_resource_db.UVMResourceDbOptions class method)
init_access_record() (uvm.base.uvm_resource.UVMResourceBase method)
initialize() (uvm.base.uvm_report_handler.UVMReportHandler method)
initialized_m_set_cl_action (uvm.base.uvm_component.UVMComponent attribute)
initialized_m_set_cl_sev (uvm.base.uvm_component.UVMComponent attribute)
insert() (uvm.base.uvm_queue.UVMQueue method)
is_active() (uvm.base.uvm_transaction.UVMTransaction method)
is_after() (uvm.base.uvm_phase.UVMPhase method)
is_auditing() (uvm.base.uvm_resource.UVMResourceOptions class method)
is_auto_item_recording_enabled() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
is_auto_updated() (uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
is_before() (uvm.base.uvm_phase.UVMPhase method)
is_blocked() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
is_busy() (uvm.reg.uvm_reg.UVMReg method)
is_child() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
is_closed() (uvm.base.uvm_recorder.UVMRecorder method)
(uvm.base.uvm_tr_stream.UVMTrStream method)
is_empty() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
is_enabled() (uvm.base.uvm_callback.UVMCallback method)
is_export() (uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_port_base.UVMPortComponent method)
(uvm.base.uvm_port_base.UVMPortComponentBase method)
is_full() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
is_grabbed() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
is_hdl_path_root() (uvm.reg.uvm_reg_block.UVMRegBlock method)
is_imp() (uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_port_base.UVMPortComponent method)
(uvm.base.uvm_port_base.UVMPortComponentBase method)
is_in_map() (uvm.reg.uvm_reg.UVMReg method)
is_item() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
(uvm.seq.uvm_sequence_item.UVMSequenceItem method)
is_known_access() (uvm.reg.uvm_reg_field.UVMRegField method)
is_locked() (uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
is_off() (uvm.base.uvm_event.UVMEventBase method)
is_on() (uvm.base.uvm_event.UVMEventBase method)
is_open() (uvm.base.uvm_recorder.UVMRecorder method)
(uvm.base.uvm_tr_database.UVMTrDatabase method)
(uvm.base.uvm_tr_stream.UVMTrStream method)
is_port() (uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_port_base.UVMPortComponent method)
(uvm.base.uvm_port_base.UVMPortComponentBase method)
is_quit_count_reached() (uvm.base.uvm_report_server.UVMReportServer method)
is_read() (uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
is_read_only() (uvm.base.uvm_resource.UVMResourceBase method)
is_recording_enabled() (uvm.base.uvm_transaction.UVMTransaction method)
is_relevant() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
is_task_phase() (uvm.base.uvm_phase.UVMPhase method)
is_tracing() (uvm.base.uvm_config_db.UVMConfigDbOptions class method)
(uvm.base.uvm_resource_db.UVMResourceDbOptions class method)
is_unbounded() (uvm.base.uvm_port_base.UVMPortBase method)
is_volatile() (uvm.reg.uvm_reg_field.UVMRegField method)
is_write() (uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
issue() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
issued1 (uvm.seq.uvm_sequence_item.UVMSequenceItem attribute)
issued2 (uvm.seq.uvm_sequence_item.UVMSequenceItem attribute)
istop() (uvm.base.uvm_printer.UVMPrinter method)
isunknown() (in module uvm.base.uvm_misc)
item_done() (uvm.seq.uvm_sequencer.UVMSequencer method)
J
jump_all() (uvm.base.uvm_domain.UVMDomain class method)
jump_to() (uvm.base.uvm_phase.UVMPhaseStateChange method)
K
key_list() (uvm.base.uvm_pool.UVMPool method)
keys() (uvm.base.uvm_pool.UVMPool method)
kill() (uvm.base.uvm_component.UVMComponent method)
kill_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
L
last() (uvm.base.uvm_callback.UVMCallbackIter method)
(uvm.base.uvm_pool.UVMPool method)
lock() (uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
lock_model() (uvm.reg.uvm_reg_block.UVMRegBlock method)
lookup() (uvm.base.uvm_component.UVMComponent method)
lookup_name() (uvm.base.uvm_resource.UVMResourcePool method)
lookup_regex_names() (uvm.base.uvm_resource.UVMResourcePool method)
lookup_scope() (uvm.base.uvm_resource.UVMResourcePool method)
M
m_add_child() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_root.UVMRoot method)
m_add_list() (uvm.base.uvm_port_base.UVMPortBase method)
m_add_tw_cbs() (uvm.base.uvm_callback.UVMCallbacksBase method)
(uvm.base.uvm_callback.UVMTypedCallbacks method)
m_am_i_a() (uvm.base.uvm_callback.UVMCallbacksBase method)
(uvm.base.uvm_callback.UVMTypedCallbacks method)
m_apply_verbosity_settings() (uvm.base.uvm_component.UVMComponent method)
m_b_inst (uvm.base.uvm_callback.UVMCallbacksBase attribute)
(uvm.base.uvm_callback.UVMTypeID attribute)
(uvm.base.uvm_report_catcher.UVMReportCb attribute)
m_backdoor (uvm.reg.uvm_reg_map.UVMRegMap attribute)
m_base_inst (uvm.base.uvm_callback.UVMCallbacks attribute)
(uvm.base.uvm_report_catcher.UVMReportCb attribute)
m_begin_tr() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_transaction.UVMTransaction method)
m_called_get_common_domain (uvm.base.uvm_root.UVMRoot attribute)
m_caught_error (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_caught_fatal (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_caught_warning (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_cb_find() (uvm.base.uvm_callback.UVMTypedCallbacks class method)
m_cb_find_name() (uvm.base.uvm_callback.UVMTypedCallbacks class method)
m_cb_typeid (uvm.base.uvm_callback.UVMCallbacks attribute)
(uvm.base.uvm_report_catcher.UVMReportCb attribute)
m_cb_typename (uvm.base.uvm_callback.UVMCallbacks attribute)
m_check_relationship() (uvm.base.uvm_port_base.UVMPortBase method)
m_check_verbosity() (uvm.base.uvm_root.UVMRoot method)
m_children (uvm.base.uvm_port_base.UVMPortComponent attribute)
(uvm.base.uvm_port_base.UVMPortComponentBase attribute)
(uvm.base.uvm_root.UVMRoot attribute)
(uvm.comps.uvm_agent.UVMAgent attribute)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator attribute)
(uvm.comps.uvm_driver.UVMDriver attribute)
(uvm.comps.uvm_env.UVMEnv attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator attribute)
(uvm.comps.uvm_monitor.UVMMonitor attribute)
(uvm.comps.uvm_scoreboard.UVMScoreboard attribute)
(uvm.comps.uvm_subscriber.UVMSubscriber attribute)
(uvm.comps.uvm_test.UVMTest attribute)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor attribute)
(uvm.seq.uvm_sequencer.UVMSequencer attribute)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase attribute)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase attribute)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMAnalysisFIFO attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO attribute)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel attribute)
m_children_by_handle (uvm.base.uvm_port_base.UVMPortComponent attribute)
(uvm.base.uvm_port_base.UVMPortComponentBase attribute)
(uvm.base.uvm_root.UVMRoot attribute)
(uvm.comps.uvm_agent.UVMAgent attribute)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator attribute)
(uvm.comps.uvm_driver.UVMDriver attribute)
(uvm.comps.uvm_env.UVMEnv attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator attribute)
(uvm.comps.uvm_monitor.UVMMonitor attribute)
(uvm.comps.uvm_scoreboard.UVMScoreboard attribute)
(uvm.comps.uvm_subscriber.UVMSubscriber attribute)
(uvm.comps.uvm_test.UVMTest attribute)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor attribute)
(uvm.seq.uvm_sequencer.UVMSequencer attribute)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase attribute)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase attribute)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMAnalysisFIFO attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO attribute)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel attribute)
m_children_ordered (uvm.base.uvm_port_base.UVMPortComponent attribute)
(uvm.base.uvm_port_base.UVMPortComponentBase attribute)
(uvm.base.uvm_root.UVMRoot attribute)
(uvm.comps.uvm_agent.UVMAgent attribute)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator attribute)
(uvm.comps.uvm_driver.UVMDriver attribute)
(uvm.comps.uvm_env.UVMEnv attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator attribute)
(uvm.comps.uvm_monitor.UVMMonitor attribute)
(uvm.comps.uvm_scoreboard.UVMScoreboard attribute)
(uvm.comps.uvm_subscriber.UVMSubscriber attribute)
(uvm.comps.uvm_test.UVMTest attribute)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor attribute)
(uvm.seq.uvm_sequencer.UVMSequencer attribute)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase attribute)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase attribute)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMAnalysisFIFO attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO attribute)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel attribute)
m_choose_next_request() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_common_domain (uvm.base.uvm_domain.UVMDomain attribute)
m_context_pool (uvm.base.uvm_objection.UVMObjection attribute)
m_convert_verb() (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor method)
m_debug_create() (uvm.base.uvm_factory.UVMDefaultFactory method)
m_debug_display() (uvm.base.uvm_factory.UVMDefaultFactory method)
m_debug_flags (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_debug_pass (uvm.base.uvm_factory.UVMDefaultFactory attribute)
m_delete_tw_cbs() (uvm.base.uvm_callback.UVMCallbacksBase method)
m_demoted_error (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_demoted_fatal (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_demoted_warning (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_display_objections() (uvm.base.uvm_objection.UVMObjection method)
m_do_config_settings() (uvm.base.uvm_root.UVMRoot method)
m_do_cycle_check() (uvm.base.uvm_misc.UVMStatusContainer method)
m_do_dump_args() (uvm.base.uvm_root.UVMRoot method)
m_do_factory_settings() (uvm.base.uvm_root.UVMRoot method)
m_do_max_quit_settings() (uvm.base.uvm_root.UVMRoot method)
m_do_open() (uvm.base.uvm_recorder.UVMRecorder method)
(uvm.base.uvm_tr_stream.UVMTrStream method)
m_do_pre_abort() (uvm.base.uvm_component.UVMComponent method)
m_do_timeout_settings() (uvm.base.uvm_root.UVMRoot method)
m_do_verbosity_settings() (uvm.base.uvm_root.UVMRoot method)
m_domains (uvm.base.uvm_domain.UVMDomain attribute)
m_drop() (uvm.base.uvm_objection.UVMObjection method)
m_events (uvm.reg.uvm_reg_sequence.UVMRegFrontdoor attribute)
m_execute_scheduled_forks() (uvm.base.uvm_objection.UVMObjection class method)
m_execute_scheduled_forks_fork_join_none() (uvm.base.uvm_objection.UVMObjection class method)
m_executing_phases (uvm.base.uvm_phase.UVMPhase attribute)
m_extract_name() (uvm.base.uvm_component.UVMComponent method)
m_find_all_recurse() (uvm.base.uvm_root.UVMRoot method)
m_find_predecessor() (uvm.base.uvm_phase.UVMPhase method)
m_find_predecessor_by_name() (uvm.base.uvm_phase.UVMPhase method)
m_find_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_find_successor() (uvm.base.uvm_phase.UVMPhase method)
m_find_successor_by_name() (uvm.base.uvm_phase.UVMPhase method)
m_fork_time_settings() (uvm.base.uvm_component.UVMComponent method)
m_forked_drain() (uvm.base.uvm_objection.UVMObjection method)
m_free_id() (uvm.base.uvm_recorder.UVMRecorder class method)
m_free_recorder() (uvm.base.uvm_tr_stream.UVMTrStream method)
m_free_stream() (uvm.base.uvm_tr_database.UVMTrDatabase method)
m_get_if_mask() (uvm.base.uvm_port_base.UVMPortBase method)
m_get_parent() (uvm.base.uvm_objection.UVMObjection method)
m_get_q() (uvm.base.uvm_callback.UVMCallbacks class method)
m_get_sqr_sequence_id() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
m_get_tr_database() (uvm.base.uvm_component.UVMComponent method)
m_get_tw_cb_q() (uvm.base.uvm_callback.UVMCallbacksBase method)
(uvm.base.uvm_callback.UVMTypedCallbacks method)
m_global_pool (uvm.base.uvm_pool.UVMObjectStringPool attribute)
(uvm.base.uvm_pool.UVMPool attribute)
m_global_queue (uvm.base.uvm_queue.UVMQueue attribute)
m_has_wildcard() (in module uvm.base.uvm_factory)
m_ids_by_recorder (uvm.base.uvm_recorder.UVMRecorder attribute)
m_ids_by_stream (uvm.base.uvm_tr_stream.UVMTrStream attribute)
m_init_objections() (uvm.base.uvm_objection.UVMObjection class method)
m_init_phase_daps() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
m_initialize() (uvm.base.uvm_callback.UVMCallbacksBase class method)
(uvm.base.uvm_callback.UVMTypedCallbacks class method)
m_inst (uvm.base.uvm_callback.UVMCallbacks attribute)
(uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor attribute)
(uvm.base.uvm_common_phases.UVMBuildPhase attribute)
(uvm.base.uvm_common_phases.UVMCheckPhase attribute)
(uvm.base.uvm_common_phases.UVMConnectPhase attribute)
(uvm.base.uvm_common_phases.UVMEndOfElaborationPhase attribute)
(uvm.base.uvm_common_phases.UVMExtractPhase attribute)
(uvm.base.uvm_common_phases.UVMFinalPhase attribute)
(uvm.base.uvm_common_phases.UVMReportPhase attribute)
(uvm.base.uvm_common_phases.UVMRunPhase attribute)
(uvm.base.uvm_common_phases.UVMStartofSimulationPhase attribute)
(uvm.base.uvm_coreservice.UVMCoreService attribute)
(uvm.base.uvm_report_catcher.UVMReportCb attribute)
(uvm.base.uvm_root.UVMRoot attribute)
(uvm.base.uvm_runtime_phases.UVMConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase attribute)
m_inst_count (uvm.base.uvm_object.UVMObject attribute)
m_is_for_me() (uvm.base.uvm_callback.UVMCallbacksBase method)
m_is_registered() (uvm.base.uvm_callback.UVMCallbacksBase method)
m_last_req_push_front() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
m_last_rsp_push_front() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
m_max_size (uvm.reg.uvm_mem.UVMMem attribute)
(uvm.reg.uvm_reg.UVMReg attribute)
(uvm.reg.uvm_reg_field.UVMRegField attribute)
m_me (uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs attribute)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs attribute)
m_modified_report_message (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_objections (uvm.base.uvm_objection.UVMObjection attribute)
m_orig_report_message (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_pack() (uvm.base.uvm_object.UVMObject method)
m_phase_hopper (uvm.base.uvm_phase.UVMPhase attribute)
m_phase_trace (uvm.base.uvm_phase.UVMPhase attribute)
m_policy_names (uvm.reg.uvm_reg_field.UVMRegField attribute)
m_pool (uvm.base.uvm_callback.UVMCallbacksBase attribute)
(uvm.base.uvm_report_catcher.UVMReportCb attribute)
m_predecessors (uvm.base.uvm_runtime_phases.UVMConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase attribute)
(uvm.base.uvm_task_phase.UVMTaskPhase attribute)
(uvm.base.uvm_topdown_phase.UVMTopdownPhase attribute)
m_predefine_policies() (uvm.reg.uvm_reg_field.UVMRegField class method)
m_predefined (uvm.reg.uvm_reg_field.UVMRegField attribute)
m_process_config() (uvm.base.uvm_root.UVMRoot method)
m_process_inst_override() (uvm.base.uvm_root.UVMRoot method)
m_process_type_override() (uvm.base.uvm_root.UVMRoot method)
m_propagate() (uvm.base.uvm_objection.UVMObjection method)
m_raise() (uvm.base.uvm_objection.UVMObjection method)
m_recorders_by_id (uvm.base.uvm_recorder.UVMRecorder attribute)
m_register_pair() (uvm.base.uvm_callback.UVMCallbacks class method)
m_register_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_relnotes_done (uvm.base.uvm_root.UVMRoot attribute)
m_report() (uvm.base.uvm_objection.UVMObjection method)
m_report_null_objection() (uvm.base.uvm_phase.UVMPhase method)
m_resolution (uvm.tlm2.uvm_tlm2_time.UVMTLMTime attribute)
m_roots (uvm.reg.uvm_reg_block.UVMRegBlock attribute)
m_rsc (uvm.base.uvm_config_db.UVMConfigDb attribute)
m_run_phases() (uvm.base.uvm_phase.UVMPhase class method)
m_safe_drop_starting_phase() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
m_safe_raise_starting_phase() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
m_scheduled_list (uvm.base.uvm_objection.UVMObjection attribute)
m_scheduled_list_not_empty_event (uvm.base.uvm_objection.UVMObjection attribute)
m_select_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_sequence_exiting() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_sequencer (uvm.reg.uvm_reg_sequence.UVMRegFrontdoor attribute)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload attribute)
m_set_action_called (uvm.base.uvm_report_catcher.UVMReportCatcher attribute)
m_set_arbitration_completed() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_set_cl_action() (uvm.base.uvm_component.UVMComponent method)
m_set_cl_msg_args() (uvm.base.uvm_component.UVMComponent method)
m_set_cl_sev() (uvm.base.uvm_component.UVMComponent method)
m_set_cl_verb() (uvm.base.uvm_component.UVMComponent method)
m_set_comp_settings() (uvm.base.uvm_component.UVMComponent method)
m_set_full_name() (uvm.base.uvm_component.UVMComponent method)
m_set_item() (uvm.reg.uvm_reg_adapter.UVMRegAdapter method)
m_set_p_sequencer() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
m_set_reg_offset() (uvm.reg.uvm_reg_map.UVMRegMap method)
m_set_sqr_sequence_id() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
m_show_msg() (uvm.base.uvm_resource_db.UVMResourceDb class method)
m_streams_by_id (uvm.base.uvm_tr_stream.UVMTrStream attribute)
m_successors (uvm.base.uvm_runtime_phases.UVMConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase attribute)
(uvm.base.uvm_task_phase.UVMTaskPhase attribute)
(uvm.base.uvm_topdown_phase.UVMTopdownPhase attribute)
m_sync (uvm.base.uvm_runtime_phases.UVMConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase attribute)
(uvm.base.uvm_task_phase.UVMTaskPhase attribute)
(uvm.base.uvm_topdown_phase.UVMTopdownPhase attribute)
m_t_inst (uvm.base.uvm_callback.UVMCallbacks attribute)
(uvm.base.uvm_callback.UVMTypedCallbacks attribute)
(uvm.base.uvm_report_catcher.UVMReportCb attribute)
m_test_argv (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor attribute)
m_test_mode (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor attribute)
m_test_plusargs (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor attribute)
m_time_settings (uvm.base.uvm_component.UVMComponent attribute)
m_tracing (uvm.base.uvm_callback.UVMCallbacksBase attribute)
m_traverse() (uvm.base.uvm_task_phase.UVMTaskPhase method)
m_trigger() (uvm.base.uvm_barrier.UVMBarrier method)
m_tw_cb_q (uvm.base.uvm_callback.UVMTypedCallbacks attribute)
m_typeid (uvm.base.uvm_callback.UVMCallbacks attribute)
(uvm.base.uvm_report_catcher.UVMReportCb attribute)
m_typename (uvm.base.uvm_callback.UVMCallbacks attribute)
(uvm.base.uvm_callback.UVMTypedCallbacks attribute)
m_unpack_post() (uvm.base.uvm_object.UVMObject method)
m_unpack_pre() (uvm.base.uvm_object.UVMObject method)
m_unregister_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_update_lists() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_use_ovm_run_semantic (uvm.base.uvm_phase.UVMPhase attribute)
m_uvm_applied_cl_action (uvm.base.uvm_component.UVMComponent attribute)
m_uvm_applied_cl_sev (uvm.base.uvm_component.UVMComponent attribute)
m_uvm_component_registry_internal() (in module uvm.macros.uvm_object_defines)
m_uvm_domain (uvm.base.uvm_domain.UVMDomain attribute)
m_uvm_get_root() (uvm.base.uvm_root.UVMRoot class method)
m_uvm_get_type_name_func() (in module uvm.macros.uvm_object_defines)
m_uvm_object_create_func() (in module uvm.macros.uvm_object_defines)
m_uvm_object_registry_internal() (in module uvm.macros.uvm_object_defines)
m_uvm_object_repr_func() (in module uvm.macros.uvm_object_defines)
m_uvm_schedule (uvm.base.uvm_domain.UVMDomain attribute)
m_uvm_string_queue_join() (in module uvm.base.uvm_misc)
m_uvm_timeout_overridable (uvm.base.uvm_root.UVMRoot attribute)
m_uvm_tr_stream_cfg (class in uvm.base.uvm_tr_stream)
m_uvm_waiter (class in uvm.base.uvm_config_db)
m_wait_arb_not_equal() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_wait_for_arbitration_completed() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_wait_for_available_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
m_wait_for_pred() (uvm.base.uvm_phase.UVMPhase method)
m_waiters (uvm.base.uvm_config_db.UVMConfigDb attribute)
main_phase() (uvm.base.uvm_component.UVMComponent method)
massage_scope() (uvm.base.uvm_component.UVMComponent method)
match_scope() (uvm.base.uvm_resource.UVMResourceBase method)
max_size() (uvm.base.uvm_port_base.UVMPortBase method)
mid_do() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
min_size() (uvm.base.uvm_port_base.UVMPortBase method)
mirror() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
module
uvm.base
uvm.base.uvm_barrier
uvm.base.uvm_bottomup_phase
uvm.base.uvm_callback
uvm.base.uvm_cmdline_processor
uvm.base.uvm_common_phases
uvm.base.uvm_comparer
uvm.base.uvm_component
uvm.base.uvm_config_db
uvm.base.uvm_coreservice
uvm.base.uvm_domain
uvm.base.uvm_event
uvm.base.uvm_factory
uvm.base.uvm_globals
uvm.base.uvm_links
uvm.base.uvm_misc
uvm.base.uvm_object
uvm.base.uvm_object_globals
uvm.base.uvm_objection
uvm.base.uvm_packer
uvm.base.uvm_phase
uvm.base.uvm_pool
uvm.base.uvm_port_base
uvm.base.uvm_printer
uvm.base.uvm_queue
uvm.base.uvm_recorder
uvm.base.uvm_registry
uvm.base.uvm_report_catcher
uvm.base.uvm_report_handler
uvm.base.uvm_report_message
uvm.base.uvm_report_object
uvm.base.uvm_report_server
uvm.base.uvm_resource
uvm.base.uvm_resource_db
uvm.base.uvm_root
uvm.base.uvm_runtime_phases
uvm.base.uvm_task_phase
uvm.base.uvm_topdown_phase
uvm.base.uvm_tr_database
uvm.base.uvm_tr_stream
uvm.base.uvm_transaction
uvm.comps.uvm_agent
uvm.comps.uvm_algorithmic_comparator
uvm.comps.uvm_driver
uvm.comps.uvm_env
uvm.comps.uvm_in_order_comparator
uvm.comps.uvm_monitor
uvm.comps.uvm_pair
uvm.comps.uvm_policies
uvm.comps.uvm_push_driver
uvm.comps.uvm_random_stimulus
uvm.comps.uvm_scoreboard
uvm.comps.uvm_subscriber
uvm.comps.uvm_test
uvm.dap
uvm.dap.uvm_get_to_lock_dap
uvm.dap.uvm_set_before_get_dap
uvm.dap.uvm_set_get_dap_base
uvm.dap.uvm_simple_lock_dap
uvm.dpi.uvm_hdl
uvm.macros
uvm.macros.uvm_callback_defines
uvm.macros.uvm_global_defines
uvm.macros.uvm_message_defines
uvm.macros.uvm_object_defines
uvm.macros.uvm_reg_defines
uvm.macros.uvm_sequence_defines
uvm.macros.uvm_tlm_defines
uvm.macros.uvm_version_defines
uvm.reg.sequences.uvm_mem_access_seq
uvm.reg.sequences.uvm_mem_walk_seq
uvm.reg.sequences.uvm_reg_access_seq
uvm.reg.sequences.uvm_reg_bit_bash_seq
uvm.reg.sequences.uvm_reg_hw_reset_seq
uvm.reg.sequences.uvm_reg_mem_built_in_seq
uvm.reg.sequences.uvm_reg_mem_hdl_paths_seq
uvm.reg.sequences.uvm_reg_mem_shared_access_seq
uvm.reg.uvm_mem
uvm.reg.uvm_mem_mam
uvm.reg.uvm_reg
uvm.reg.uvm_reg_adapter
uvm.reg.uvm_reg_backdoor
uvm.reg.uvm_reg_block
uvm.reg.uvm_reg_cbs
uvm.reg.uvm_reg_field
uvm.reg.uvm_reg_fifo
uvm.reg.uvm_reg_file
uvm.reg.uvm_reg_indirect
uvm.reg.uvm_reg_item
uvm.reg.uvm_reg_map
uvm.reg.uvm_reg_model
uvm.reg.uvm_reg_predictor
uvm.reg.uvm_reg_sequence
uvm.reg.uvm_vreg
uvm.reg.uvm_vreg_field
uvm.seq.uvm_push_sequencer
uvm.seq.uvm_sequence
uvm.seq.uvm_sequence_base
uvm.seq.uvm_sequence_item
uvm.seq.uvm_sequence_library
uvm.seq.uvm_sequencer
uvm.seq.uvm_sequencer_base
uvm.seq.uvm_sequencer_param_base
uvm.sequences
uvm.tlm1
uvm.tlm1.uvm_analysis_port
uvm.tlm1.uvm_exports
uvm.tlm1.uvm_imps
uvm.tlm1.uvm_ports
uvm.tlm1.uvm_sqr_connections
uvm.tlm1.uvm_sqr_ifs
uvm.tlm1.uvm_tlm_fifo_base
uvm.tlm1.uvm_tlm_fifos
uvm.tlm1.uvm_tlm_ifs
uvm.tlm1.uvm_tlm_req_rsp
uvm.tlm2
uvm.tlm2.uvm_tlm2_defines
uvm.tlm2.uvm_tlm2_exports
uvm.tlm2.uvm_tlm2_generic_payload
uvm.tlm2.uvm_tlm2_ifs
uvm.tlm2.uvm_tlm2_imps
uvm.tlm2.uvm_tlm2_ports
uvm.tlm2.uvm_tlm2_sockets
uvm.tlm2.uvm_tlm2_sockets_base
uvm.tlm2.uvm_tlm2_time
my_type (uvm.base.uvm_resource.UVMResource attribute)
N
nb_transport_bw() (uvm.tlm2.uvm_tlm2_ifs.UVMTLMIf method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbPassthroughInitiatorSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbPassthroughTargetSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbTargetSocketBase method)
nb_transport_fw() (uvm.tlm2.uvm_tlm2_ifs.UVMTLMIf method)
(uvm.tlm2.uvm_tlm2_sockets.UVMTLMNbTargetSocket method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbInitiatorSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbPassthroughInitiatorSocketBase method)
(uvm.tlm2.uvm_tlm2_sockets_base.UVMTLMNbPassthroughTargetSocketBase method)
NEARBY (uvm.reg.uvm_mem_mam.UVMMemMam attribute)
needs_update() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
new_report_message() (uvm.base.uvm_report_message.UVMReportMessage class method)
next() (uvm.base.uvm_callback.UVMCallbackIter method)
(uvm.base.uvm_pool.UVMPool method)
num() (uvm.base.uvm_pool.UVMPool method)
num_with_radix() (in module uvm.base.uvm_misc)
O
o2str() (in module uvm.base.uvm_callback)
objs (uvm.base.uvm_registry.UVMObjectRegistry attribute)
OK_RESPONSE (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_response_status_e attribute)
ok_to_get() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
ok_to_peek() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
ok_to_put() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
open_db() (uvm.base.uvm_tr_database.UVMTrDatabase method)
open_recorder() (uvm.base.uvm_tr_stream.UVMTrStream method)
open_stream() (uvm.base.uvm_tr_database.UVMTrDatabase method)
order() (uvm.reg.uvm_reg_map.UVMRegTransactionOrderPolicy method)
P
p_sequencer (uvm.reg.uvm_reg_sequence.UVMRegFrontdoor attribute)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload attribute)
pack() (uvm.base.uvm_object.UVMObject method)
pack_bytes() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_packer.UVMPacker method)
pack_field() (uvm.base.uvm_packer.UVMPacker method)
pack_field_int() (uvm.base.uvm_packer.UVMPacker method)
pack_ints() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_packer.UVMPacker method)
pack_object() (uvm.base.uvm_packer.UVMPacker method)
pack_string() (uvm.base.uvm_packer.UVMPacker method)
peek() (uvm.reg.uvm_mem.UVMMem method)
(uvm.seq.uvm_sequencer.UVMSequencer method)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
ph2str() (in module uvm.base.uvm_phase)
phase_ended() (uvm.base.uvm_component.UVMComponent method)
phase_ready_to_end() (uvm.base.uvm_component.UVMComponent method)
phase_started() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_root.UVMRoot method)
phase_state_change() (uvm.base.uvm_phase.UVMPhaseCb method)
poke() (uvm.reg.uvm_mem.UVMMem method)
pop_back() (uvm.base.uvm_queue.UVMQueue method)
pop_front() (uvm.base.uvm_queue.UVMQueue method)
post_body() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
post_configure_phase() (uvm.base.uvm_component.UVMComponent method)
post_do() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
post_main_phase() (uvm.base.uvm_component.UVMComponent method)
post_randomize() (uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
post_read() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_cbs.UVMRegCbs method)
(uvm.reg.uvm_reg_field.UVMRegField method)
post_reset_phase() (uvm.base.uvm_component.UVMComponent method)
post_shutdown_phase() (uvm.base.uvm_component.UVMComponent method)
post_start() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
post_write() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_cbs.UVMRegCbs method)
(uvm.reg.uvm_reg_field.UVMRegField method)
pprint() (uvm.base.uvm_report_message.UVMReportMessageElementBase method)
pre_abort() (uvm.base.uvm_component.UVMComponent method)
pre_body() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
pre_configure_phase() (uvm.base.uvm_component.UVMComponent method)
pre_do() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
pre_main_phase() (uvm.base.uvm_component.UVMComponent method)
pre_predict() (uvm.reg.uvm_reg_predictor.UVMRegPredictor method)
pre_randomize() (uvm.reg.uvm_reg_field.UVMRegField method)
pre_read() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_cbs.UVMRegCbs method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
pre_reset_phase() (uvm.base.uvm_component.UVMComponent method)
pre_shutdown_phase() (uvm.base.uvm_component.UVMComponent method)
pre_start() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
pre_write() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_cbs.UVMRegCbs method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
predict() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_field.UVMRegField method)
prev() (uvm.base.uvm_callback.UVMCallbackIter method)
(uvm.base.uvm_pool.UVMPool method)
print_array_footer() (uvm.base.uvm_printer.UVMPrinter method)
print_array_header() (uvm.base.uvm_printer.UVMPrinter method)
print_array_range() (uvm.base.uvm_printer.UVMPrinter method)
print_catcher() (uvm.base.uvm_report_catcher.UVMReportCatcher class method)
print_config() (uvm.base.uvm_component.UVMComponent method)
print_config_matches (uvm.base.uvm_component.UVMComponent attribute)
print_config_settings() (uvm.base.uvm_component.UVMComponent method)
print_config_with_audit() (uvm.base.uvm_component.UVMComponent method)
print_factory() (uvm.base.uvm_factory.UVMDefaultFactory method)
print_field() (uvm.base.uvm_printer.UVMPrinter method)
print_field_int() (uvm.base.uvm_printer.UVMPrinter method)
print_generic() (uvm.base.uvm_printer.UVMPrinter method)
print_int() (uvm.base.uvm_printer.UVMPrinter method)
print_matches (uvm.base.uvm_misc.UVMStatusContainer attribute)
print_msg() (uvm.base.uvm_comparer.UVMComparer method)
print_msg_object() (uvm.base.uvm_comparer.UVMComparer method)
print_obj() (uvm.base.uvm_object.UVMObject method)
print_object() (uvm.base.uvm_printer.UVMPrinter method)
print_object_header() (uvm.base.uvm_printer.UVMPrinter method)
print_override_info() (uvm.base.uvm_component.UVMComponent method)
print_real() (uvm.base.uvm_printer.UVMPrinter method)
print_resources() (uvm.base.uvm_resource.UVMResourcePool method)
print_rollup() (uvm.base.uvm_comparer.UVMComparer method)
print_string() (uvm.base.uvm_printer.UVMPrinter method)
print_time() (uvm.base.uvm_printer.UVMPrinter method)
print_topology() (uvm.base.uvm_root.UVMRoot method)
process_all_report_catchers() (uvm.base.uvm_report_catcher.UVMReportCatcher class method)
process_report_catcher() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
process_report_message() (uvm.base.uvm_report_handler.UVMReportHandler method)
(uvm.base.uvm_report_server.UVMReportServer method)
ProcessContainerC (class in uvm.base.uvm_misc)
push_back() (uvm.base.uvm_queue.UVMQueue method)
push_front() (uvm.base.uvm_queue.UVMQueue method)
push_get_record() (uvm.base.uvm_resource.UVMResourcePool method)
put() (uvm.seq.uvm_sequencer.UVMSequencer method)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
put_base_response() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
put_bits() (uvm.base.uvm_packer.UVMPacker method)
put_response() (uvm.seq.uvm_sequence.UVMSequence method)
(uvm.seq.uvm_sequence_base.UVMSequenceBase method)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
R
raise_exception_on_die (uvm.base.uvm_root.UVMRoot attribute)
raise_objection() (uvm.base.uvm_objection.UVMObjection method)
(uvm.base.uvm_phase.UVMPhase method)
raised() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_objection.UVMObjection method)
re_brackets (uvm.dpi.uvm_hdl.uvm_hdl attribute)
read() (uvm.base.uvm_resource.UVMResource method)
(uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
read_by_name() (uvm.base.uvm_resource_db.UVMResourceDb class method)
read_func() (uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
ready (uvm.base.uvm_config_db.UVMConfigDbOptions attribute)
(uvm.base.uvm_resource_db.UVMResourceDbOptions attribute)
record() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
record_error_tr() (uvm.base.uvm_component.UVMComponent method)
record_event_tr() (uvm.base.uvm_component.UVMComponent method)
record_field() (uvm.base.uvm_recorder.UVMRecorder method)
record_field_int() (uvm.base.uvm_recorder.UVMRecorder method)
record_object() (uvm.base.uvm_recorder.UVMRecorder method)
record_read_access() (uvm.base.uvm_resource.UVMResourceBase method)
record_string() (uvm.base.uvm_recorder.UVMRecorder method)
record_write_access() (uvm.base.uvm_resource.UVMResourceBase method)
reg2bus() (uvm.reg.uvm_reg_adapter.UVMRegAdapter method)
reg_test_off() (in module uvm.reg.uvm_reg_model)
reg_test_on() (in module uvm.reg.uvm_reg_model)
register() (uvm.base.uvm_factory.UVMDefaultFactory method)
registered (uvm.base.uvm_registry.UVMComponentRegistry attribute)
(uvm.base.uvm_registry.UVMObjectRegistry attribute)
registry_db (uvm.base.uvm_registry.UVMComponentRegistry attribute)
(uvm.base.uvm_registry.UVMObjectRegistry attribute)
remove_sequence_from_queues() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
report() (uvm.base.uvm_report_handler.UVMReportHandler method)
report_header() (uvm.base.uvm_root.UVMRoot method)
report_phase() (uvm.base.uvm_component.UVMComponent method)
report_summarize() (uvm.base.uvm_report_server.UVMReportServer method)
reporter (uvm.base.uvm_callback.UVMCallback attribute)
(uvm.base.uvm_callback.UVMCallbacks attribute)
reseed() (uvm.base.uvm_object.UVMObject method)
reserve_region() (uvm.reg.uvm_mem_mam.UVMMemMam method)
reset() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_coreservice.UVMCoreService class method)
(uvm.base.uvm_event.UVMEventBase method)
(uvm.base.uvm_packer.UVMPacker method)
(uvm.base.uvm_registry.UVMComponentRegistry class method)
(uvm.base.uvm_registry.UVMObjectRegistry static method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.tlm2.uvm_tlm2_time.UVMTLMTime method)
reset_blk() (uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq method)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq method)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq method)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq method)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq method)
reset_phase() (uvm.base.uvm_component.UVMComponent method)
reset_quit_count() (uvm.base.uvm_report_server.UVMReportServer method)
reset_report_handler() (uvm.base.uvm_report_object.UVMReportObject method)
reset_severity_counts() (uvm.base.uvm_report_server.UVMReportServer method)
resolve_bindings() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_port_base.UVMPortComponent method)
ResourceDbClassFactory() (in module uvm.base.uvm_resource_db)
response_handler() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
resume() (uvm.base.uvm_component.UVMComponent method)
rp (uvm.base.uvm_resource.UVMResourcePool attribute)
rsrc_t (uvm.base.uvm_resource_db.UVMResourceDb attribute)
run() (uvm.base.uvm_component.UVMComponent method)
run_phase() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_root.UVMRoot method)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator method)
run_test() (in module uvm.base.uvm_globals)
(uvm.base.uvm_root.UVMRoot method)
running_test_msg() (uvm.base.uvm_root.UVMRoot method)
S
sample() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
sample_values() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
save (uvm.base.uvm_port_base.UVMPortBase attribute)
send_request() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
(uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
set() (uvm.base.uvm_config_db.UVMConfigDb class method)
(uvm.base.uvm_event.UVMEventBase method)
(uvm.base.uvm_links.UVMLinkBase method)
(uvm.base.uvm_resource.UVMResource method)
(uvm.base.uvm_resource.UVMResourcePool method)
(uvm.base.uvm_resource_db.UVMResourceDb class method)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap method)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap method)
(uvm.dap.uvm_set_get_dap_base.uvm_set_get_dap_base method)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
(uvm.reg.uvm_reg_model.uvm_hdl_path_concat method)
set_abstime() (uvm.tlm2.uvm_tlm2_time.UVMTLMTime method)
set_access() (uvm.reg.uvm_reg_field.UVMRegField method)
set_action() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
set_address() (uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
set_arbitration() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
set_auto_predict() (uvm.reg.uvm_reg_map.UVMRegMap method)
set_auto_reset() (uvm.base.uvm_barrier.UVMBarrier method)
set_automatic_phase_objection() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
set_backdoor() (uvm.reg.uvm_mem.UVMMem method)
set_check_on_read() (uvm.reg.uvm_reg_map.UVMRegMap method)
set_command() (uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
set_compare() (uvm.reg.uvm_reg_field.UVMRegField method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
set_context() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
set_coverage() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
set_default() (uvm.base.uvm_resource_db.UVMResourceDb class method)
set_default_file() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_default_hdl_path() (uvm.reg.uvm_reg_block.UVMRegBlock method)
set_default_index() (uvm.base.uvm_port_base.UVMPortBase method)
set_default_map() (uvm.reg.uvm_reg_block.UVMRegBlock method)
set_default_tr_database() (uvm.base.uvm_coreservice.UVMCoreService method)
set_depth() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
set_domain() (uvm.base.uvm_component.UVMComponent method)
set_drain_time() (uvm.base.uvm_objection.UVMObjection method)
set_dut() (uvm.dpi.uvm_hdl.uvm_hdl class method)
set_factory() (uvm.base.uvm_coreservice.UVMCoreService method)
set_file() (uvm.base.uvm_report_message.UVMReportMessage method)
set_file_name() (uvm.base.uvm_tr_database.UVMTextTrDatabase method)
set_filename() (uvm.base.uvm_report_message.UVMReportMessage method)
set_hdl_path_root() (uvm.reg.uvm_reg_block.UVMRegBlock method)
set_id() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
set_id_action() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_id_count() (uvm.base.uvm_report_server.UVMReportServer method)
set_id_file() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_id_info() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
set_id_verbosity() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_if() (uvm.base.uvm_port_base.UVMPortBase method)
set_initiator() (uvm.base.uvm_transaction.UVMTransaction method)
set_inst_override() (uvm.base.uvm_registry.UVMComponentRegistry method)
(uvm.base.uvm_registry.UVMObjectRegistry method)
set_inst_override_by_type() (uvm.base.uvm_factory.UVMDefaultFactory method)
set_int_local() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_object.UVMObject method)
set_item_context() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
set_lhs() (uvm.base.uvm_links.UVMLinkBase method)
set_line() (uvm.base.uvm_report_message.UVMReportMessage method)
set_logger() (uvm.base.uvm_report_server.UVMReportServer method)
set_max_quit_count() (uvm.base.uvm_report_server.UVMReportServer method)
set_max_zero_time_wait_relevant_count() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
set_message() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
set_message_database() (uvm.base.uvm_report_server.UVMReportServer method)
set_name() (uvm.base.uvm_component.UVMComponent method)
(uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_report_message.UVMReportMessageElementBase method)
set_num_last_reqs() (uvm.seq.uvm_sequencer_param_base.UVMSequencerParamBase method)
set_object_local() (uvm.base.uvm_object.UVMObject method)
set_offset() (uvm.reg.uvm_reg.UVMReg method)
set_override() (uvm.base.uvm_resource.UVMResource method)
set_packed_size() (uvm.base.uvm_packer.UVMPacker method)
set_parent() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
set_parent_sequence() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
set_phase_imp() (uvm.base.uvm_component.UVMComponent method)
set_priority() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
set_priority_name() (uvm.base.uvm_resource.UVMResourcePool method)
set_priority_queue() (uvm.base.uvm_resource.UVMResourcePool method)
set_quit_count() (uvm.base.uvm_report_server.UVMReportServer method)
set_read() (uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
set_read_only() (uvm.base.uvm_resource.UVMResourceBase method)
set_read_write() (uvm.base.uvm_resource.UVMResourceBase method)
set_report_default_file() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_default_file_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_handler() (uvm.base.uvm_report_message.UVMReportMessage method)
(uvm.base.uvm_report_object.UVMReportObject method)
set_report_id_action() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_id_action_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_id_file() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_id_file_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_id_verbosity() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_id_verbosity_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_message() (uvm.base.uvm_report_message.UVMReportMessage method)
set_report_object() (uvm.base.uvm_report_message.UVMReportMessage method)
set_report_server() (uvm.base.uvm_coreservice.UVMCoreService method)
(uvm.base.uvm_report_message.UVMReportMessage method)
set_report_severity_action() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_severity_action_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_severity_file() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_severity_file_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_severity_id_action() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_severity_id_action_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_severity_id_file() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_severity_id_file_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_severity_id_override() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_severity_id_verbosity() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_severity_id_verbosity_hier() (uvm.base.uvm_component.UVMComponent method)
set_report_severity_override() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_verbosity_level() (uvm.base.uvm_report_object.UVMReportObject method)
set_report_verbosity_level_hier() (uvm.base.uvm_component.UVMComponent method)
set_reset() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_field.UVMRegField method)
set_response_queue_depth() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
set_response_queue_error_report_disabled() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
set_rhs() (uvm.base.uvm_links.UVMLinkBase method)
set_scope() (uvm.base.uvm_resource.UVMResourceBase method)
set_sequence_id() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
set_sequencer() (uvm.reg.uvm_reg_map.UVMRegMap method)
(uvm.seq.uvm_sequence_item.UVMSequenceItem method)
set_server() (uvm.base.uvm_report_server.UVMReportServer class method)
set_severity() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
set_severity_action() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_severity_count() (uvm.base.uvm_report_server.UVMReportServer method)
set_severity_file() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_severity_id_action() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_severity_id_file() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_severity_id_override() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_severity_id_verbosity() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_severity_override() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_starting_phase() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
set_state() (uvm.base.uvm_phase.UVMPhase method)
set_string_local() (uvm.base.uvm_object.UVMObject method)
set_submap_offset() (uvm.reg.uvm_reg_map.UVMRegMap method)
set_threshold() (uvm.base.uvm_barrier.UVMBarrier method)
set_time_resolution() (uvm.tlm2.uvm_tlm2_time.UVMTLMTime static method)
set_timeout() (uvm.base.uvm_root.UVMRoot method)
set_transaction_id() (uvm.base.uvm_transaction.UVMTransaction method)
set_type_override() (uvm.base.uvm_component.UVMComponent class method)
(uvm.base.uvm_registry.UVMComponentRegistry method)
(uvm.base.uvm_registry.UVMObjectRegistry method)
set_type_override_by_name() (uvm.base.uvm_factory.UVMDefaultFactory method)
set_type_override_by_type() (uvm.base.uvm_component.UVMComponent class method)
(uvm.base.uvm_factory.UVMDefaultFactory method)
set_use_sequence_info() (uvm.seq.uvm_sequence_item.UVMSequenceItem method)
set_val() (uvm.base.uvm_report_message.UVMReportMessageElementBase method)
set_value() (uvm.base.uvm_event.UVMEventBase method)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
set_verbosity() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_message.UVMReportMessage method)
set_verbosity_level() (uvm.base.uvm_report_handler.UVMReportHandler method)
set_volatility() (uvm.reg.uvm_reg_field.UVMRegField method)
set_write() (uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload method)
sev_id_struct (class in uvm.base.uvm_report_catcher)
shutdown_phase() (uvm.base.uvm_component.UVMComponent method)
size() (uvm.base.uvm_port_base.UVMPortBase method)
(uvm.base.uvm_queue.UVMQueue method)
(uvm.base.uvm_report_message.UVMReportMessageElementContainer method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
sort_by_precedence() (uvm.base.uvm_resource.UVMResourcePool class method)
spell_check() (uvm.base.uvm_resource.UVMResourcePool method)
split_hdl_path() (uvm.dpi.uvm_hdl.uvm_hdl class method)
sprint() (uvm.base.uvm_object.UVMObject method)
start() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
start_item() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
start_of_simulation() (uvm.base.uvm_component.UVMComponent method)
start_of_simulation_phase() (uvm.base.uvm_component.UVMComponent method)
start_phase_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
start_process() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
stop_phase_sequence() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
stop_sequences() (uvm.seq.uvm_sequencer.UVMSequencer method)
(uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
summarize() (uvm.base.uvm_report_catcher.UVMReportCatcher class method)
suspend() (uvm.base.uvm_component.UVMComponent method)
T
THRIFTY (uvm.reg.uvm_mem_mam.UVMMemMam attribute)
trace_mode() (uvm.base.uvm_objection.UVMObjection method)
tracing (uvm.base.uvm_config_db.UVMConfigDbOptions attribute)
(uvm.base.uvm_resource_db.UVMResourceDbOptions attribute)
traverse() (uvm.base.uvm_bottomup_phase.UVMBottomupPhase method)
(uvm.base.uvm_task_phase.UVMTaskPhase method)
(uvm.base.uvm_topdown_phase.UVMTopdownPhase method)
trigger() (uvm.base.uvm_event.UVMEvent method)
try_get() (uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap method)
(uvm.dap.uvm_set_get_dap_base.uvm_set_get_dap_base method)
(uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
try_next_item() (uvm.seq.uvm_sequencer.UVMSequencer method)
try_peek() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
try_put() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
try_set() (uvm.dap.uvm_set_get_dap_base.uvm_set_get_dap_base method)
turn_off_auditing() (uvm.base.uvm_resource.UVMResourceOptions class method)
turn_off_tracing() (uvm.base.uvm_config_db.UVMConfigDbOptions class method)
(uvm.base.uvm_resource_db.UVMResourceDbOptions class method)
turn_on_auditing() (uvm.base.uvm_resource.UVMResourceOptions class method)
turn_on_tracing() (uvm.base.uvm_config_db.UVMConfigDbOptions class method)
(uvm.base.uvm_resource_db.UVMResourceDbOptions class method)
type_id (uvm.base.uvm_links.UVMParentChildLink attribute)
(uvm.base.uvm_links.UVMRelatedLink attribute)
(uvm.base.uvm_object.UVMObject attribute)
(uvm.base.uvm_phase.UVMPhaseStateChange attribute)
(uvm.base.uvm_recorder.UVMTextRecorder attribute)
(uvm.base.uvm_report_handler.UVMReportHandler attribute)
(uvm.base.uvm_tr_database.UVMTextTrDatabase attribute)
(uvm.base.uvm_tr_stream.UVMTextTrStream attribute)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator attribute)
(uvm.comps.uvm_pair.UVMBuiltInPair attribute)
(uvm.comps.uvm_pair.UVMClassPair attribute)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap attribute)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap attribute)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap attribute)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq attribute)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemSingleAccessSeq attribute)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemSingleWalkSeq attribute)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq attribute)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq attribute)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq attribute)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegSingleAccessSeq attribute)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq attribute)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq attribute)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq attribute)
(uvm.reg.sequences.uvm_reg_mem_built_in_seq.UVMRegMemBuiltInSeq attribute)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor attribute)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs attribute)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs attribute)
(uvm.reg.uvm_reg_field.UVMRegField attribute)
(uvm.reg.uvm_reg_item.UVMRegItem attribute)
(uvm.reg.uvm_reg_map.UVMRegMap attribute)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor attribute)
(uvm.reg.uvm_reg_sequence.UVMRegSequence attribute)
(uvm.reg.uvm_vreg_field.UVMVRegField attribute)
(uvm.seq.uvm_sequencer.UVMSequencer attribute)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload attribute)
type_map (uvm.base.uvm_callback.UVMTypeIDBase attribute)
type_name (uvm.base.uvm_barrier.UVMBarrier attribute)
(uvm.base.uvm_callback.UVMCallback attribute)
(uvm.base.uvm_common_phases.UVMBuildPhase attribute)
(uvm.base.uvm_common_phases.UVMCheckPhase attribute)
(uvm.base.uvm_common_phases.UVMConnectPhase attribute)
(uvm.base.uvm_common_phases.UVMEndOfElaborationPhase attribute)
(uvm.base.uvm_common_phases.UVMExtractPhase attribute)
(uvm.base.uvm_common_phases.UVMFinalPhase attribute)
(uvm.base.uvm_common_phases.UVMReportPhase attribute)
(uvm.base.uvm_common_phases.UVMRunPhase attribute)
(uvm.base.uvm_common_phases.UVMStartofSimulationPhase attribute)
(uvm.base.uvm_event.UVMEvent attribute)
(uvm.base.uvm_event.UVMEventBase attribute)
(uvm.base.uvm_links.UVMParentChildLink attribute)
(uvm.base.uvm_links.UVMRelatedLink attribute)
(uvm.base.uvm_phase.UVMPhaseStateChange attribute)
(uvm.base.uvm_pool.UVMObjectStringPool attribute)
(uvm.base.uvm_pool.UVMPool attribute)
(uvm.base.uvm_queue.UVMQueue attribute)
(uvm.base.uvm_recorder.UVMTextRecorder attribute)
(uvm.base.uvm_report_handler.UVMReportHandler attribute)
(uvm.base.uvm_runtime_phases.UVMConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPostShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreConfigurePhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreMainPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMPreShutdownPhase attribute)
(uvm.base.uvm_runtime_phases.UVMResetPhase attribute)
(uvm.base.uvm_runtime_phases.UVMShutdownPhase attribute)
(uvm.base.uvm_tr_database.UVMTextTrDatabase attribute)
(uvm.base.uvm_tr_stream.UVMTextTrStream attribute)
(uvm.comps.uvm_agent.UVMAgent attribute)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator attribute)
(uvm.comps.uvm_driver.UVMDriver attribute)
(uvm.comps.uvm_env.UVMEnv attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderBuiltInComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderClassComparator attribute)
(uvm.comps.uvm_in_order_comparator.UVMInOrderComparator attribute)
(uvm.comps.uvm_monitor.UVMMonitor attribute)
(uvm.comps.uvm_pair.UVMBuiltInPair attribute)
(uvm.comps.uvm_pair.UVMClassPair attribute)
(uvm.comps.uvm_scoreboard.UVMScoreboard attribute)
(uvm.comps.uvm_test.UVMTest attribute)
(uvm.dap.uvm_get_to_lock_dap.uvm_get_to_lock_dap attribute)
(uvm.dap.uvm_set_before_get_dap.uvm_set_before_get_dap attribute)
(uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap attribute)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemAccessSeq attribute)
(uvm.reg.sequences.uvm_mem_access_seq.UVMMemSingleAccessSeq attribute)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemSingleWalkSeq attribute)
(uvm.reg.sequences.uvm_mem_walk_seq.UVMMemWalkSeq attribute)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegAccessSeq attribute)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegMemAccessSeq attribute)
(uvm.reg.sequences.uvm_reg_access_seq.UVMRegSingleAccessSeq attribute)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegBitBashSeq attribute)
(uvm.reg.sequences.uvm_reg_bit_bash_seq.UVMRegSingleBitBashSeq attribute)
(uvm.reg.sequences.uvm_reg_hw_reset_seq.UVMRegHWResetSeq attribute)
(uvm.reg.sequences.uvm_reg_mem_built_in_seq.UVMRegMemBuiltInSeq attribute)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor attribute)
(uvm.reg.uvm_reg_cbs.UVMRegReadOnlyCbs attribute)
(uvm.reg.uvm_reg_cbs.UVMRegWriteOnlyCbs attribute)
(uvm.reg.uvm_reg_field.UVMRegField attribute)
(uvm.reg.uvm_reg_item.UVMRegItem attribute)
(uvm.reg.uvm_reg_map.UVMRegMap attribute)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor attribute)
(uvm.reg.uvm_reg_sequence.UVMRegSequence attribute)
(uvm.reg.uvm_vreg_field.UVMVRegField attribute)
(uvm.seq.uvm_sequence_base.UVMSequenceBase attribute)
(uvm.seq.uvm_sequencer.UVMSequencer attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMAnalysisFIFO attribute)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO attribute)
(uvm.tlm1.uvm_tlm_req_rsp.UVMTLMReqRspChannel attribute)
(uvm.tlm2.uvm_tlm2_generic_payload.UVMTLMGenericPayload attribute)
typeid_map (uvm.base.uvm_callback.UVMTypeIDBase attribute)
typename (uvm.base.uvm_callback.UVMTypeIDBase attribute)
U
UNINITIALIZED_PHASE (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_phase_e attribute)
unlock() (uvm.dap.uvm_simple_lock_dap.uvm_simple_lock_dap method)
unpack() (uvm.base.uvm_object.UVMObject method)
unpack_bytes() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_packer.UVMPacker method)
unpack_field() (uvm.base.uvm_packer.UVMPacker method)
unpack_field_int() (uvm.base.uvm_packer.UVMPacker method)
unpack_ints() (uvm.base.uvm_object.UVMObject method)
(uvm.base.uvm_packer.UVMPacker method)
unpack_object() (uvm.base.uvm_packer.UVMPacker method)
unpack_string() (uvm.base.uvm_packer.UVMPacker method)
update() (uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
(uvm.reg.uvm_reg_fifo.UVMRegFIFO method)
use_record_attribute() (uvm.base.uvm_recorder.UVMRecorder method)
use_response_handler() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
use_uvm_seeding (uvm.base.uvm_object.UVMObject attribute)
used() (uvm.tlm1.uvm_tlm_fifo_base.UVMTLMFIFOBase method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMFIFO method)
user_priority_arbitration() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
uvm.base
module
uvm.base.uvm_barrier
module
uvm.base.uvm_bottomup_phase
module
uvm.base.uvm_callback
module
uvm.base.uvm_cmdline_processor
module
uvm.base.uvm_common_phases
module
uvm.base.uvm_comparer
module
uvm.base.uvm_component
module
uvm.base.uvm_config_db
module
uvm.base.uvm_coreservice
module
uvm.base.uvm_domain
module
uvm.base.uvm_event
module
uvm.base.uvm_factory
module
uvm.base.uvm_globals
module
uvm.base.uvm_links
module
uvm.base.uvm_misc
module
uvm.base.uvm_object
module
uvm.base.uvm_object_globals
module
uvm.base.uvm_objection
module
uvm.base.uvm_packer
module
uvm.base.uvm_phase
module
uvm.base.uvm_pool
module
uvm.base.uvm_port_base
module
uvm.base.uvm_printer
module
uvm.base.uvm_queue
module
uvm.base.uvm_recorder
module
uvm.base.uvm_registry
module
uvm.base.uvm_report_catcher
module
uvm.base.uvm_report_handler
module
uvm.base.uvm_report_message
module
uvm.base.uvm_report_object
module
uvm.base.uvm_report_server
module
uvm.base.uvm_resource
module
uvm.base.uvm_resource_db
module
uvm.base.uvm_root
module
uvm.base.uvm_runtime_phases
module
uvm.base.uvm_task_phase
module
uvm.base.uvm_topdown_phase
module
uvm.base.uvm_tr_database
module
uvm.base.uvm_tr_stream
module
uvm.base.uvm_transaction
module
uvm.comps.uvm_agent
module
uvm.comps.uvm_algorithmic_comparator
module
uvm.comps.uvm_driver
module
uvm.comps.uvm_env
module
uvm.comps.uvm_in_order_comparator
module
uvm.comps.uvm_monitor
module
uvm.comps.uvm_pair
module
uvm.comps.uvm_policies
module
uvm.comps.uvm_push_driver
module
uvm.comps.uvm_random_stimulus
module
uvm.comps.uvm_scoreboard
module
uvm.comps.uvm_subscriber
module
uvm.comps.uvm_test
module
uvm.dap
module
uvm.dap.uvm_get_to_lock_dap
module
uvm.dap.uvm_set_before_get_dap
module
uvm.dap.uvm_set_get_dap_base
module
uvm.dap.uvm_simple_lock_dap
module
uvm.dpi.uvm_hdl
module
uvm.macros
module
uvm.macros.uvm_callback_defines
module
uvm.macros.uvm_global_defines
module
uvm.macros.uvm_message_defines
module
uvm.macros.uvm_object_defines
module
uvm.macros.uvm_reg_defines
module
uvm.macros.uvm_sequence_defines
module
uvm.macros.uvm_tlm_defines
module
uvm.macros.uvm_version_defines
module
uvm.reg.sequences.uvm_mem_access_seq
module
uvm.reg.sequences.uvm_mem_walk_seq
module
uvm.reg.sequences.uvm_reg_access_seq
module
uvm.reg.sequences.uvm_reg_bit_bash_seq
module
uvm.reg.sequences.uvm_reg_hw_reset_seq
module
uvm.reg.sequences.uvm_reg_mem_built_in_seq
module
uvm.reg.sequences.uvm_reg_mem_hdl_paths_seq
module
uvm.reg.sequences.uvm_reg_mem_shared_access_seq
module
uvm.reg.uvm_mem
module
uvm.reg.uvm_mem_mam
module
uvm.reg.uvm_reg
module
uvm.reg.uvm_reg_adapter
module
uvm.reg.uvm_reg_backdoor
module
uvm.reg.uvm_reg_block
module
uvm.reg.uvm_reg_cbs
module
uvm.reg.uvm_reg_field
module
uvm.reg.uvm_reg_fifo
module
uvm.reg.uvm_reg_file
module
uvm.reg.uvm_reg_indirect
module
uvm.reg.uvm_reg_item
module
uvm.reg.uvm_reg_map
module
uvm.reg.uvm_reg_model
module
uvm.reg.uvm_reg_predictor
module
uvm.reg.uvm_reg_sequence
module
uvm.reg.uvm_vreg
module
uvm.reg.uvm_vreg_field
module
uvm.seq.uvm_push_sequencer
module
uvm.seq.uvm_sequence
module
uvm.seq.uvm_sequence_base
module
uvm.seq.uvm_sequence_item
module
uvm.seq.uvm_sequence_library
module
uvm.seq.uvm_sequencer
module
uvm.seq.uvm_sequencer_base
module
uvm.seq.uvm_sequencer_param_base
module
uvm.sequences
module
uvm.tlm1
module
uvm.tlm1.uvm_analysis_port
module
uvm.tlm1.uvm_exports
module
uvm.tlm1.uvm_imps
module
uvm.tlm1.uvm_ports
module
uvm.tlm1.uvm_sqr_connections
module
uvm.tlm1.uvm_sqr_ifs
module
uvm.tlm1.uvm_tlm_fifo_base
module
uvm.tlm1.uvm_tlm_fifos
module
uvm.tlm1.uvm_tlm_ifs
module
uvm.tlm1.uvm_tlm_req_rsp
module
uvm.tlm2
module
uvm.tlm2.uvm_tlm2_defines
module
uvm.tlm2.uvm_tlm2_exports
module
uvm.tlm2.uvm_tlm2_generic_payload
module
uvm.tlm2.uvm_tlm2_ifs
module
uvm.tlm2.uvm_tlm2_imps
module
uvm.tlm2.uvm_tlm2_ports
module
uvm.tlm2.uvm_tlm2_sockets
module
uvm.tlm2.uvm_tlm2_sockets_base
module
uvm.tlm2.uvm_tlm2_time
module
uvm_analysis_imp_decl() (in module uvm.macros.uvm_tlm_defines)
uvm_bitstream_to_string() (in module uvm.base.uvm_misc)
uvm_cb_trace_noobj() (in module uvm.macros.uvm_callback_defines)
uvm_check_output_args() (in module uvm.base.uvm_globals)
uvm_check_ref_arg() (in module uvm.macros.uvm_tlm_defines)
uvm_cmdline_parsed_arg_t (class in uvm.base.uvm_component)
uvm_cmdline_proc (uvm.base.uvm_cmdline_processor.UVMCmdlineProcessor attribute)
uvm_component_utils() (in module uvm.macros.uvm_object_defines)
uvm_component_utils_begin() (in module uvm.macros.uvm_object_defines)
uvm_component_utils_end() (in module uvm.macros.uvm_object_defines)
uvm_create() (in module uvm.macros.uvm_sequence_defines)
uvm_create_on() (in module uvm.macros.uvm_sequence_defines)
uvm_do() (in module uvm.macros.uvm_sequence_defines)
uvm_do_callbacks() (in module uvm.macros.uvm_callback_defines)
uvm_do_callbacks_async() (in module uvm.macros.uvm_callback_defines)
uvm_do_callbacks_exit_on() (in module uvm.macros.uvm_callback_defines)
uvm_do_obj_callbacks() (in module uvm.macros.uvm_callback_defines)
uvm_do_obj_callbacks_async() (in module uvm.macros.uvm_callback_defines)
uvm_do_obj_callbacks_exit_on() (in module uvm.macros.uvm_callback_defines)
uvm_do_on() (in module uvm.macros.uvm_sequence_defines)
uvm_do_on_pri_with() (in module uvm.macros.uvm_sequence_defines)
uvm_do_on_with() (in module uvm.macros.uvm_sequence_defines)
uvm_do_pri_with() (in module uvm.macros.uvm_sequence_defines)
uvm_do_with() (in module uvm.macros.uvm_sequence_defines)
uvm_dpi_get_tool_name() (in module uvm.base.uvm_cmdline_processor)
uvm_dpi_get_tool_version() (in module uvm.base.uvm_cmdline_processor)
uvm_dpi_regcomp() (in module uvm.base.uvm_cmdline_processor)
uvm_dpi_regexec() (in module uvm.base.uvm_cmdline_processor)
uvm_error() (in module uvm.macros.uvm_message_defines)
uvm_error_context() (in module uvm.macros.uvm_message_defines)
uvm_factory_queue_class (class in uvm.base.uvm_factory)
uvm_fatal() (in module uvm.macros.uvm_message_defines)
uvm_field_aa() (in module uvm.macros.uvm_object_defines)
uvm_field_aa_string_string() (in module uvm.macros.uvm_object_defines)
uvm_field_int() (in module uvm.macros.uvm_object_defines)
uvm_field_object() (in module uvm.macros.uvm_object_defines)
uvm_field_string() (in module uvm.macros.uvm_object_defines)
uvm_field_utils_end() (in module uvm.macros.uvm_object_defines)
uvm_field_utils_start() (in module uvm.macros.uvm_object_defines)
uvm_field_val() (in module uvm.macros.uvm_object_defines)
uvm_file() (in module uvm.macros.uvm_message_defines)
uvm_get_array_index_string() (in module uvm.base.uvm_misc)
uvm_get_report_object() (in module uvm.base.uvm_globals)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_get_to_lock_dap (class in uvm.dap.uvm_get_to_lock_dap)
uvm_global_copy_map (uvm.base.uvm_object.UVMObject attribute)
uvm_has_icarus() (in module uvm.base.uvm_globals)
uvm_has_verilator() (in module uvm.base.uvm_globals)
uvm_hdl (class in uvm.dpi.uvm_hdl)
uvm_hdl_concat2string() (in module uvm.reg.uvm_reg_model)
uvm_hdl_deposit() (uvm.dpi.uvm_hdl.uvm_hdl class method)
uvm_hdl_path_concat (class in uvm.reg.uvm_reg_model)
uvm_hdl_path_slice (class in uvm.reg.uvm_reg_model)
uvm_hdl_read() (uvm.dpi.uvm_hdl.uvm_hdl class method)
uvm_info() (in module uvm.macros.uvm_message_defines)
uvm_info_context() (in module uvm.macros.uvm_message_defines)
uvm_integral_to_string() (in module uvm.base.uvm_misc)
uvm_is_match() (in module uvm.base.uvm_globals)
uvm_is_sim_active() (in module uvm.base.uvm_globals)
uvm_leaf_scope() (in module uvm.base.uvm_misc)
uvm_line() (in module uvm.macros.uvm_message_defines)
uvm_object_utils() (in module uvm.macros.uvm_object_defines)
uvm_object_utils_begin() (in module uvm.macros.uvm_object_defines)
uvm_object_utils_end() (in module uvm.macros.uvm_object_defines)
uvm_object_value_str() (in module uvm.base.uvm_misc)
uvm_path_e() (in module uvm.reg.uvm_reg_model)
UVM_PH_TRACE() (in module uvm.base.uvm_phase)
uvm_process_report_message() (in module uvm.base.uvm_globals)
(uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_radix_to_string() (in module uvm.base.uvm_object_globals)
uvm_reg_indirect_ftdr_seq (class in uvm.reg.uvm_reg_indirect)
uvm_register_cb() (in module uvm.macros.uvm_callback_defines)
uvm_report() (uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_report_enabled() (in module uvm.base.uvm_globals)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_report_error() (in module uvm.base.uvm_globals)
(uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_report_fatal() (in module uvm.base.uvm_globals)
(uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_report_info() (in module uvm.base.uvm_globals)
(uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_report_warning() (in module uvm.base.uvm_globals)
(uvm.base.uvm_report_catcher.UVMReportCatcher method)
(uvm.base.uvm_report_object.UVMReportObject method)
uvm_send() (in module uvm.macros.uvm_sequence_defines)
uvm_send_pri() (in module uvm.macros.uvm_sequence_defines)
UVM_SEQ_ITEM_PULL_IMP() (in module uvm.macros.uvm_tlm_defines)
uvm_sequence_process_wrapper (class in uvm.seq.uvm_sequencer_base)
uvm_sequence_request (class in uvm.seq.uvm_sequencer_base)
uvm_set_before_get_dap (class in uvm.dap.uvm_set_before_get_dap)
uvm_set_get_dap_base (class in uvm.dap.uvm_set_get_dap_base)
uvm_sim_time() (in module uvm.base.uvm_globals)
uvm_simple_lock_dap (class in uvm.dap.uvm_simple_lock_dap)
uvm_status_e() (in module uvm.reg.uvm_reg_model)
uvm_string_to_action() (in module uvm.base.uvm_globals)
uvm_string_to_bits() (in module uvm.base.uvm_globals)
uvm_string_to_severity() (in module uvm.base.uvm_globals)
UVM_TLM_ACCEPTED (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_sync_e attribute)
UVM_TLM_B_TRANSPORT_IMP() (in module uvm.tlm2.uvm_tlm2_imps)
uvm_tlm_command_e (class in uvm.tlm2.uvm_tlm2_generic_payload)
UVM_TLM_COMPLETED (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_sync_e attribute)
UVM_TLM_IGNORE_COMMAND (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_command_e attribute)
UVM_TLM_NB_TRANSPORT_BW_IMP() (in module uvm.tlm2.uvm_tlm2_imps)
UVM_TLM_NB_TRANSPORT_FW_IMP() (in module uvm.tlm2.uvm_tlm2_imps)
uvm_tlm_phase_e (class in uvm.tlm2.uvm_tlm2_ifs)
UVM_TLM_READ_COMMAND (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_command_e attribute)
uvm_tlm_response_status_e (class in uvm.tlm2.uvm_tlm2_generic_payload)
uvm_tlm_sync_e (class in uvm.tlm2.uvm_tlm2_ifs)
UVM_TLM_UPDATED (uvm.tlm2.uvm_tlm2_ifs.uvm_tlm_sync_e attribute)
UVM_TLM_WRITE_COMMAND (uvm.tlm2.uvm_tlm2_generic_payload.uvm_tlm_command_e attribute)
uvm_wait_for_nba_region() (in module uvm.base.uvm_globals)
uvm_warning() (in module uvm.macros.uvm_message_defines)
uvm_zero_delay() (in module uvm.base.uvm_globals)
UVMAgent (class in uvm.comps.uvm_agent)
UVMAlgorithmicComparator (class in uvm.comps.uvm_algorithmic_comparator)
UVMAnalysisExport (class in uvm.tlm1.uvm_analysis_port)
UVMAnalysisPort (class in uvm.tlm1.uvm_analysis_port)
UVMBarrier (class in uvm.base.uvm_barrier)
UVMBarrierPool (class in uvm.base.uvm_pool)
UVMBottomupPhase (class in uvm.base.uvm_bottomup_phase)
UVMBuildPhase (class in uvm.base.uvm_common_phases)
UVMBuiltInClone (class in uvm.comps.uvm_policies)
UVMBuiltInComp (class in uvm.comps.uvm_policies)
UVMBuiltInConverter (class in uvm.comps.uvm_policies)
UVMBuiltInPair (class in uvm.comps.uvm_pair)
UVMCallback (class in uvm.base.uvm_callback)
UVMCallbackIter (class in uvm.base.uvm_callback)
UVMCallbacks (class in uvm.base.uvm_callback)
UVMCallbacksBase (class in uvm.base.uvm_callback)
UVMCauseEffectLink (class in uvm.base.uvm_links)
UVMCheckPhase (class in uvm.base.uvm_common_phases)
UVMClassClone (class in uvm.comps.uvm_policies)
UVMClassComp (class in uvm.comps.uvm_policies)
UVMClassConverter (class in uvm.comps.uvm_policies)
UVMClassPair (class in uvm.comps.uvm_pair)
UVMCmdlineProcessor (class in uvm.base.uvm_cmdline_processor)
UVMCmdLineVerb (class in uvm.base.uvm_cmdline_processor)
UVMComparer (class in uvm.base.uvm_comparer)
UVMComponent (class in uvm.base.uvm_component)
UVMComponentRegistry (class in uvm.base.uvm_registry)
UVMConfigDb (class in uvm.base.uvm_config_db)
UVMConfigDbOptions (class in uvm.base.uvm_config_db)
UVMConfigurePhase (class in uvm.base.uvm_runtime_phases)
UVMConnectPhase (class in uvm.base.uvm_common_phases)
UVMCoreService (class in uvm.base.uvm_coreservice)
UVMDefaultFactory (class in uvm.base.uvm_factory)
UVMDomain (class in uvm.base.uvm_domain)
UVMDriver (class in uvm.comps.uvm_driver)
UVMEndOfElaborationPhase (class in uvm.base.uvm_common_phases)
UVMEnv (class in uvm.comps.uvm_env)
UVMEvent (class in uvm.base.uvm_event)
UVMEventBase (class in uvm.base.uvm_event)
UVMEventPool (class in uvm.base.uvm_pool)
UVMExtractPhase (class in uvm.base.uvm_common_phases)
UVMFactory (class in uvm.base.uvm_factory)
UVMFactoryOverride (class in uvm.base.uvm_factory)
UVMFinalPhase (class in uvm.base.uvm_common_phases)
UVMInOrderBuiltInComparator (class in uvm.comps.uvm_in_order_comparator)
UVMInOrderClassComparator (class in uvm.comps.uvm_in_order_comparator)
UVMInOrderComparator (class in uvm.comps.uvm_in_order_comparator)
UVMJSONPrinter (class in uvm.base.uvm_printer)
UVMLinePrinter (class in uvm.base.uvm_printer)
UVMLinkBase (class in uvm.base.uvm_links)
UVMMainPhase (class in uvm.base.uvm_runtime_phases)
UVMMem (class in uvm.reg.uvm_mem)
UVMMemAccessSeq (class in uvm.reg.sequences.uvm_mem_access_seq)
UVMMemMam (class in uvm.reg.uvm_mem_mam)
UVMMemMamCfg (class in uvm.reg.uvm_mem_mam)
UVMMemMamPolicy (class in uvm.reg.uvm_mem_mam)
UVMMemRegion (class in uvm.reg.uvm_mem_mam)
UVMMemSingleAccessSeq (class in uvm.reg.sequences.uvm_mem_access_seq)
UVMMemSingleWalkSeq (class in uvm.reg.sequences.uvm_mem_walk_seq)
UVMMemWalkSeq (class in uvm.reg.sequences.uvm_mem_walk_seq)
UVMMonitor (class in uvm.comps.uvm_monitor)
UVMObject (class in uvm.base.uvm_object)
UVMObjection (class in uvm.base.uvm_objection)
UVMObjectionCallback (class in uvm.base.uvm_objection)
UVMObjectionContextObject (class in uvm.base.uvm_objection)
UVMObjectionEvents (class in uvm.base.uvm_objection)
UVMObjectRegistry (class in uvm.base.uvm_registry)
UVMObjectStringPool (class in uvm.base.uvm_pool)
UVMObjectWrapper (class in uvm.base.uvm_factory)
UVMPacker (class in uvm.base.uvm_packer)
UVMParentChildLink (class in uvm.base.uvm_links)
UVMPhase (class in uvm.base.uvm_phase)
UVMPhaseCb (class in uvm.base.uvm_phase)
UVMPhaseStateChange (class in uvm.base.uvm_phase)
UVMPool (class in uvm.base.uvm_pool)
UVMPortBase (class in uvm.base.uvm_port_base)
UVMPortComponent (class in uvm.base.uvm_port_base)
UVMPortComponentBase (class in uvm.base.uvm_port_base)
UVMPostConfigurePhase (class in uvm.base.uvm_runtime_phases)
UVMPostMainPhase (class in uvm.base.uvm_runtime_phases)
UVMPostResetPhase (class in uvm.base.uvm_runtime_phases)
UVMPostShutdownPhase (class in uvm.base.uvm_runtime_phases)
UVMPreConfigurePhase (class in uvm.base.uvm_runtime_phases)
UVMPredictS (class in uvm.reg.uvm_reg_predictor)
UVMPreMainPhase (class in uvm.base.uvm_runtime_phases)
UVMPreResetPhase (class in uvm.base.uvm_runtime_phases)
UVMPreShutdownPhase (class in uvm.base.uvm_runtime_phases)
UVMPrinter (class in uvm.base.uvm_printer)
UVMPrinterKnobs (class in uvm.base.uvm_printer)
UVMPrinterRowInfo (class in uvm.base.uvm_printer)
UVMQueue (class in uvm.base.uvm_queue)
UVMRecorder (class in uvm.base.uvm_recorder)
UVMReg (class in uvm.reg.uvm_reg)
UVMRegAccessSeq (class in uvm.reg.sequences.uvm_reg_access_seq)
UVMRegAdapter (class in uvm.reg.uvm_reg_adapter)
UVMRegBackdoor (class in uvm.reg.uvm_reg_backdoor)
UVMRegBitBashSeq (class in uvm.reg.sequences.uvm_reg_bit_bash_seq)
UVMRegBlock (class in uvm.reg.uvm_reg_block)
UVMRegBusOp (class in uvm.reg.uvm_reg_item)
UVMRegCbs (class in uvm.reg.uvm_reg_cbs)
UVMRegField (class in uvm.reg.uvm_reg_field)
UVMRegFIFO (class in uvm.reg.uvm_reg_fifo)
UVMRegFile (class in uvm.reg.uvm_reg_file)
UVMRegFrontdoor (class in uvm.reg.uvm_reg_sequence)
UVMRegHWResetSeq (class in uvm.reg.sequences.uvm_reg_hw_reset_seq)
UVMRegIndirectData (class in uvm.reg.uvm_reg_indirect)
UVMRegItem (class in uvm.reg.uvm_reg_item)
UVMRegMap (class in uvm.reg.uvm_reg_map)
UVMRegMapAddrRange (class in uvm.reg.uvm_reg_model)
UVMRegMapInfo (class in uvm.reg.uvm_reg_map)
UVMRegMemAccessSeq (class in uvm.reg.sequences.uvm_reg_access_seq)
UVMRegMemBuiltInSeq (class in uvm.reg.sequences.uvm_reg_mem_built_in_seq)
UVMRegPredictor (class in uvm.reg.uvm_reg_predictor)
UVMRegReadOnlyCbs (class in uvm.reg.uvm_reg_cbs)
UVMRegSequence (class in uvm.reg.uvm_reg_sequence)
UVMRegSingleAccessSeq (class in uvm.reg.sequences.uvm_reg_access_seq)
UVMRegSingleBitBashSeq (class in uvm.reg.sequences.uvm_reg_bit_bash_seq)
UVMRegTransactionOrderPolicy (class in uvm.reg.uvm_reg_map)
UVMRegWriteOnlyCbs (class in uvm.reg.uvm_reg_cbs)
UVMRelatedLink (class in uvm.base.uvm_links)
UVMReportCatcher (class in uvm.base.uvm_report_catcher)
UVMReportCb (class in uvm.base.uvm_report_catcher)
UVMReportHandler (class in uvm.base.uvm_report_handler)
UVMReportMessage (class in uvm.base.uvm_report_message)
UVMReportMessageElementBase (class in uvm.base.uvm_report_message)
UVMReportMessageElementContainer (class in uvm.base.uvm_report_message)
UVMReportMessageIntElement (class in uvm.base.uvm_report_message)
UVMReportMessageObjectElement (class in uvm.base.uvm_report_message)
UVMReportMessageStringElement (class in uvm.base.uvm_report_message)
UVMReportObject (class in uvm.base.uvm_report_object)
UVMReportPhase (class in uvm.base.uvm_common_phases)
UVMReportServer (class in uvm.base.uvm_report_server)
UVMResetPhase (class in uvm.base.uvm_runtime_phases)
UVMResource (class in uvm.base.uvm_resource)
UVMResourceBase (class in uvm.base.uvm_resource)
UVMResourceDb (class in uvm.base.uvm_resource_db)
UVMResourceDbOptions (class in uvm.base.uvm_resource_db)
UVMResourceOptions (class in uvm.base.uvm_resource)
UVMResourcePool (class in uvm.base.uvm_resource)
UVMRoot (class in uvm.base.uvm_root)
UVMRunPhase (class in uvm.base.uvm_common_phases)
UVMScoreboard (class in uvm.comps.uvm_scoreboard)
UVMSequence (class in uvm.seq.uvm_sequence)
UVMSequenceBase (class in uvm.seq.uvm_sequence_base)
UVMSequenceItem (class in uvm.seq.uvm_sequence_item)
UVMSequencer (class in uvm.seq.uvm_sequencer)
UVMSequencerBase (class in uvm.seq.uvm_sequencer_base)
UVMSequencerParamBase (class in uvm.seq.uvm_sequencer_param_base)
UVMShutdownPhase (class in uvm.base.uvm_runtime_phases)
UVMStartofSimulationPhase (class in uvm.base.uvm_common_phases)
UVMStatusContainer (class in uvm.base.uvm_misc)
UVMSubscriber (class in uvm.comps.uvm_subscriber)
UVMTablePrinter (class in uvm.base.uvm_printer)
UVMTaskPhase (class in uvm.base.uvm_task_phase)
UVMTest (class in uvm.comps.uvm_test)
UVMTextRecorder (class in uvm.base.uvm_recorder)
UVMTextTrDatabase (class in uvm.base.uvm_tr_database)
UVMTextTrStream (class in uvm.base.uvm_tr_stream)
UVMTLMAnalysisFIFO (class in uvm.tlm1.uvm_tlm_fifos)
UVMTLMBInitiatorSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMBPassthroughInitiatorSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMBPassthroughTargetSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMBTargetSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMBTargetSocketBase (class in uvm.tlm2.uvm_tlm2_sockets_base)
UVMTLMEvent (class in uvm.tlm1.uvm_tlm_fifo_base)
UVMTLMExtension (class in uvm.tlm2.uvm_tlm2_generic_payload)
UVMTLMExtensionBase (class in uvm.tlm2.uvm_tlm2_generic_payload)
UVMTLMFIFO (class in uvm.tlm1.uvm_tlm_fifos)
UVMTLMFIFOBase (class in uvm.tlm1.uvm_tlm_fifo_base)
UVMTLMGenericPayload (class in uvm.tlm2.uvm_tlm2_generic_payload)
UVMTLMIf (class in uvm.tlm2.uvm_tlm2_ifs)
UVMTLMNbInitiatorSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMNbInitiatorSocketBase (class in uvm.tlm2.uvm_tlm2_sockets_base)
UVMTLMNbPassthroughInitiatorSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMNbPassthroughInitiatorSocketBase (class in uvm.tlm2.uvm_tlm2_sockets_base)
UVMTLMNbPassthroughTargetSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMNbPassthroughTargetSocketBase (class in uvm.tlm2.uvm_tlm2_sockets_base)
UVMTLMNbTargetSocket (class in uvm.tlm2.uvm_tlm2_sockets)
UVMTLMNbTargetSocketBase (class in uvm.tlm2.uvm_tlm2_sockets_base)
UVMTLMReqRspChannel (class in uvm.tlm1.uvm_tlm_req_rsp)
UVMTLMTime (class in uvm.tlm2.uvm_tlm2_time)
UVMTopdownPhase (class in uvm.base.uvm_topdown_phase)
UVMTransaction (class in uvm.base.uvm_transaction)
UVMTrDatabase (class in uvm.base.uvm_tr_database)
UVMTreePrinter (class in uvm.base.uvm_printer)
UVMTrStream (class in uvm.base.uvm_tr_stream)
UVMTypedCallbacks (class in uvm.base.uvm_callback)
UVMTypeID (class in uvm.base.uvm_callback)
UVMTypeIDBase (class in uvm.base.uvm_callback)
UVMUtils (class in uvm.base.uvm_misc)
UVMVReg (class in uvm.reg.uvm_vreg)
UVMVRegField (class in uvm.reg.uvm_vreg_field)
V
VerbositySetting (class in uvm.base.uvm_component)
W
wait() (uvm.base.uvm_event.UVMEventBase method)
wait_all_phases_done() (uvm.base.uvm_root.UVMRoot method)
wait_for() (uvm.base.uvm_barrier.UVMBarrier method)
(uvm.base.uvm_objection.UVMObjection method)
wait_for_change() (uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
wait_for_criterion_for_end_phase() (uvm.base.uvm_phase.UVMPhase method)
wait_for_grant() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
wait_for_item_done() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
wait_for_relevant() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
wait_for_self_and_siblings_to_drop() (uvm.base.uvm_phase.UVMPhase method)
wait_for_sequence_state() (uvm.seq.uvm_sequence_base.UVMSequenceBase method)
wait_for_sequences() (uvm.seq.uvm_sequencer_base.UVMSequencerBase method)
wait_for_state() (uvm.base.uvm_phase.UVMPhase method)
wait_modified() (uvm.base.uvm_resource.UVMResourceBase method)
wait_off() (uvm.base.uvm_event.UVMEventBase method)
wait_on() (uvm.base.uvm_event.UVMEventBase method)
wait_ptrigger() (uvm.base.uvm_event.UVMEventBase method)
wait_ptrigger_data() (uvm.base.uvm_event.UVMEvent method)
wait_trigger() (uvm.base.uvm_event.UVMEventBase method)
wait_trigger_data() (uvm.base.uvm_event.UVMEvent method)
write() (uvm.base.uvm_resource.UVMResource method)
(uvm.comps.uvm_algorithmic_comparator.UVMAlgorithmicComparator method)
(uvm.comps.uvm_subscriber.UVMSubscriber method)
(uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_backdoor.UVMRegBackdoor method)
(uvm.reg.uvm_reg_indirect.UVMRegIndirectData method)
(uvm.reg.uvm_reg_predictor.UVMRegPredictor method)
(uvm.tlm1.uvm_analysis_port.UVMAnalysisExport method)
(uvm.tlm1.uvm_analysis_port.UVMAnalysisPort method)
(uvm.tlm1.uvm_tlm_fifos.UVMTLMAnalysisFIFO method)
write_attribute() (uvm.base.uvm_recorder.UVMTextRecorder method)
write_attribute_int() (uvm.base.uvm_recorder.UVMTextRecorder method)
write_by_name() (uvm.base.uvm_resource_db.UVMResourceDb class method)
write_reg_by_name() (uvm.reg.uvm_reg_block.UVMRegBlock method)
X
Xadd_vregX() (uvm.reg.uvm_mem.UVMMem method)
XatomicX() (uvm.reg.uvm_reg.UVMReg method)
Xcheck_accessX() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
Xget_bus_infoX() (uvm.reg.uvm_reg_map.UVMRegMap method)
Xget_fields_accessX() (uvm.reg.uvm_reg.UVMReg method)
Xinit_address_mapsX() (uvm.reg.uvm_reg_block.UVMRegBlock method)
Xinit_address_mapX() (uvm.reg.uvm_reg_map.UVMRegMap method)
Xlock_modelX() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
XpredictX() (uvm.reg.uvm_reg_field.UVMRegField method)
XreadX() (uvm.reg.uvm_reg.UVMReg method)
XsampleX() (uvm.reg.uvm_mem.UVMMem method)
(uvm.reg.uvm_reg.UVMReg method)
(uvm.reg.uvm_reg_block.UVMRegBlock method)
Xset_busyX() (uvm.reg.uvm_reg.UVMReg method)
XupdateX() (uvm.reg.uvm_reg_field.UVMRegField method)
Read the Docs
v: latest
Versions
latest
stable
Downloads
On Read the Docs
Project Home
Builds
Free document hosting provided by
Read the Docs
.