Memory Allocation Mgr

class uvm.reg.uvm_mem_mam.UVMMemMam(name, cfg, mem=None)[source]

Bases: object

GREEDY = 0
THRIFTY = 1
BROAD = 0
NEARBY = 1
reserve_region(start_offset, n_bytes, fname='', lineno=0)[source]
class uvm.reg.uvm_mem_mam.UVMMemRegion(start_offset, end_offset, _len, n_bytes, parent)[source]

Bases: object

class uvm.reg.uvm_mem_mam.UVMMemMamPolicy[source]

Bases: object

class uvm.reg.uvm_mem_mam.UVMMemMamCfg[source]

Bases: object