uvm_subscriber

class uvm.comps.uvm_subscriber.UVMSubscriber(name, parent)[source]

Bases: UVMComponent

This class provides an analysis export for receiving transactions from a connected analysis export. Making such a connection “subscribes” this component to any transactions emitted by the connected analysis port.

Subtypes of this class must define the write method to process the incoming transactions. This class is particularly useful when designing a coverage collector that attaches to a monitor.

Variables

analysis_export (UVMAnalysisImp) – This export provides access to the write method, which derived subscribers must implement.

write(t)[source]
m_children: Dict[str, 'UVMComponent']
m_children_by_handle: Dict['UVMComponent', 'UVMComponent']
m_children_ordered: List['UVMComponent']
event_pool: UVMEventPool