uvm_bottomup_phase

class uvm.base.uvm_bottomup_phase.UVMBottomupPhase(name)[source]

Bases: UVMPhase

Base class for function phases that operate bottom-up. The pure virtual function execute() is called for each component. This is the default traversal so is included only for naming.

A bottom-up function phase completes when the <execute()> method has been called and returned on all applicable components in the hierarchy.

traverse(comp, phase, state)[source]

Traverses the component tree in bottom-up order, calling execute for each component.

Parameters
execute(comp, phase)[source]

Executes the bottom-up phase phase for the component comp.

Parameters