uvm_tlm_time

class uvm.tlm2.uvm_tlm2_time.UVMTLMTime(name='uvm_tlm_time', res=0)[source]

Bases: object

m_resolution = 1e-12
static set_time_resolution(res)[source]
get_name()[source]
reset()[source]
get_realtime(scaled, secs=1e-09)[source]
incr(t, scaled, secs=1e-09)[source]
decr(t, scaled, secs)[source]
get_abstime(secs)[source]
set_abstime(t, secs)[source]